add private data pointer to the tap interface
[openocd.git] / src / jtag / jtag.h
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007-2010 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifndef JTAG_H
24 #define JTAG_H
25
26 #include <helper/binarybuffer.h>
27 #include <helper/log.h>
28
29 #ifdef _DEBUG_JTAG_IO_
30 #define DEBUG_JTAG_IO(expr ...) \
31 do { if (1) LOG_DEBUG(expr); } while (0)
32 #else
33 #define DEBUG_JTAG_IO(expr ...) \
34 do { if (0) LOG_DEBUG(expr); } while (0)
35 #endif
36
37 #ifndef DEBUG_JTAG_IOZ
38 #define DEBUG_JTAG_IOZ 64
39 #endif
40
41 /*-----</Macros>-------------------------------------------------*/
42
43 /**
44 * Defines JTAG Test Access Port states.
45 *
46 * These definitions were gleaned from the ARM7TDMI-S Technical
47 * Reference Manual and validated against several other ARM core
48 * technical manuals.
49 *
50 * FIXME some interfaces require specific numbers be used, as they
51 * are handed-off directly to their hardware implementations.
52 * Fix those drivers to map as appropriate ... then pick some
53 * sane set of numbers here (where 0/uninitialized == INVALID).
54 */
55 typedef enum tap_state
56 {
57 TAP_INVALID = -1,
58
59 #if BUILD_ZY1000
60 /* These are the old numbers. Leave as-is for now... */
61 TAP_RESET = 0, TAP_IDLE = 8,
62 TAP_DRSELECT = 1, TAP_DRCAPTURE = 2, TAP_DRSHIFT = 3, TAP_DREXIT1 = 4,
63 TAP_DRPAUSE = 5, TAP_DREXIT2 = 6, TAP_DRUPDATE = 7,
64 TAP_IRSELECT = 9, TAP_IRCAPTURE = 10, TAP_IRSHIFT = 11, TAP_IREXIT1 = 12,
65 TAP_IRPAUSE = 13, TAP_IREXIT2 = 14, TAP_IRUPDATE = 15,
66
67 #else
68 /* Proper ARM recommended numbers */
69 TAP_DREXIT2 = 0x0,
70 TAP_DREXIT1 = 0x1,
71 TAP_DRSHIFT = 0x2,
72 TAP_DRPAUSE = 0x3,
73 TAP_IRSELECT = 0x4,
74 TAP_DRUPDATE = 0x5,
75 TAP_DRCAPTURE = 0x6,
76 TAP_DRSELECT = 0x7,
77 TAP_IREXIT2 = 0x8,
78 TAP_IREXIT1 = 0x9,
79 TAP_IRSHIFT = 0xa,
80 TAP_IRPAUSE = 0xb,
81 TAP_IDLE = 0xc,
82 TAP_IRUPDATE = 0xd,
83 TAP_IRCAPTURE = 0xe,
84 TAP_RESET = 0x0f,
85
86 #endif
87 } tap_state_t;
88
89 /**
90 * Function tap_state_name
91 * Returns a string suitable for display representing the JTAG tap_state
92 */
93 const char *tap_state_name(tap_state_t state);
94
95 /// Provides user-friendly name lookup of TAP states.
96 tap_state_t tap_state_by_name(const char *name);
97
98 /// The current TAP state of the pending JTAG command queue.
99 extern tap_state_t cmd_queue_cur_state;
100
101 /**
102 * This structure defines a single scan field in the scan. It provides
103 * fields for the field's width and pointers to scan input and output
104 * values.
105 *
106 * In addition, this structure includes a value and mask that is used by
107 * jtag_add_dr_scan_check() to validate the value that was scanned out.
108 */
109 struct scan_field {
110 /// The number of bits this field specifies (up to 32)
111 int num_bits;
112 /// A pointer to value to be scanned into the device
113 const uint8_t* out_value;
114 /// A pointer to a 32-bit memory location for data scanned out
115 uint8_t* in_value;
116
117 /// The value used to check the data scanned out.
118 uint8_t* check_value;
119 /// The mask to go with check_value
120 uint8_t* check_mask;
121 };
122
123 struct jtag_tap {
124 const char* chip;
125 const char* tapname;
126 const char* dotted_name;
127 int abs_chain_position;
128 /// Is this TAP disabled after JTAG reset?
129 bool disabled_after_reset;
130 /// Is this TAP currently enabled?
131 bool enabled;
132 int ir_length; /**< size of instruction register */
133 uint32_t ir_capture_value;
134 uint8_t* expected; /**< Capture-IR expected value */
135 uint32_t ir_capture_mask;
136 uint8_t* expected_mask; /**< Capture-IR expected mask */
137 uint32_t idcode; /**< device identification code */
138 /** not all devices have idcode,
139 * we'll discover this during chain examination */
140 bool hasidcode;
141
142 /// Array of expected identification codes */
143 uint32_t* expected_ids;
144 /// Number of expected identification codes
145 uint8_t expected_ids_cnt;
146
147 /// Flag saying whether to ignore version field in expected_ids[]
148 bool ignore_version;
149
150 /// current instruction
151 uint8_t* cur_instr;
152 /// Bypass register selected
153 int bypass;
154
155 struct jtag_tap_event_action *event_action;
156
157 struct jtag_tap* next_tap;
158 /* dap instance if some null if no instance , initialized to 0 by calloc*/
159 struct adiv5_dap *dap;
160 /* private pointer to support none-jtag specific functions */
161 void *priv;
162 };
163
164 void jtag_tap_init(struct jtag_tap *tap);
165 void jtag_tap_free(struct jtag_tap *tap);
166
167 struct jtag_tap* jtag_all_taps(void);
168 const char *jtag_tap_name(const struct jtag_tap *tap);
169 struct jtag_tap* jtag_tap_by_string(const char* dotted_name);
170 struct jtag_tap* jtag_tap_by_jim_obj(Jim_Interp* interp, Jim_Obj* obj);
171 struct jtag_tap* jtag_tap_by_position(unsigned abs_position);
172 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p);
173 unsigned jtag_tap_count_enabled(void);
174 unsigned jtag_tap_count(void);
175
176
177 /*
178 * - TRST_ASSERTED triggers two sets of callbacks, after operations to
179 * reset the scan chain -- via TMS+TCK signaling, or deasserting the
180 * nTRST signal -- are queued:
181 *
182 * + Callbacks in C code fire first, patching internal state
183 * + Then post-reset event scripts fire ... activating JTAG circuits
184 * via TCK cycles, exiting SWD mode via TMS sequences, etc
185 *
186 * During those callbacks, scan chain contents have not been validated.
187 * JTAG operations that address a specific TAP (primarily DR/IR scans)
188 * must *not* be queued.
189 *
190 * - TAP_EVENT_SETUP is reported after TRST_ASSERTED, and after the scan
191 * chain has been validated. JTAG operations including scans that
192 * target specific TAPs may be performed.
193 *
194 * - TAP_EVENT_ENABLE and TAP_EVENT_DISABLE implement TAP activation and
195 * deactivation outside the core using scripted code that understands
196 * the specific JTAG router type. They might be triggered indirectly
197 * from EVENT_SETUP operations.
198 */
199 enum jtag_event {
200 JTAG_TRST_ASSERTED,
201 JTAG_TAP_EVENT_SETUP,
202 JTAG_TAP_EVENT_ENABLE,
203 JTAG_TAP_EVENT_DISABLE,
204 };
205
206 struct jtag_tap_event_action
207 {
208 /// The event for which this action will be triggered.
209 enum jtag_event event;
210 /// The interpreter to use for evaluating the @c body.
211 Jim_Interp *interp;
212 /// Contains a script to 'eval' when the @c event is triggered.
213 Jim_Obj *body;
214 // next action in linked list
215 struct jtag_tap_event_action *next;
216 };
217
218 /**
219 * Defines the function signature requide for JTAG event callback
220 * functions, which are added with jtag_register_event_callback()
221 * and removed jtag_unregister_event_callback().
222 * @param event The event to handle.
223 * @param prive A pointer to data that was passed to
224 * jtag_register_event_callback().
225 * @returns Must return ERROR_OK on success, or an error code on failure.
226 *
227 * @todo Change to return void or define a use for its return code.
228 */
229 typedef int (*jtag_event_handler_t)(enum jtag_event event, void* priv);
230
231 int jtag_register_event_callback(jtag_event_handler_t f, void *x);
232 int jtag_unregister_event_callback(jtag_event_handler_t f, void *x);
233
234 int jtag_call_event_callbacks(enum jtag_event event);
235
236
237 /// @returns The current JTAG speed setting.
238 int jtag_get_speed(int *speed);
239
240 /**
241 * Given a @a speed setting, use the interface @c speed_div callback to
242 * adjust the setting.
243 * @param speed The speed setting to convert back to readable KHz.
244 * @returns ERROR_OK if the interface has not been initialized or on success;
245 * otherwise, the error code produced by the @c speed_div callback.
246 */
247 int jtag_get_speed_readable(int *speed);
248
249 /// Attempt to configure the interface for the specified KHz.
250 int jtag_config_khz(unsigned khz);
251
252 /**
253 * Attempt to enable RTCK/RCLK. If that fails, fallback to the
254 * specified frequency.
255 */
256 int jtag_config_rclk(unsigned fallback_speed_khz);
257
258 /// Retreives the clock speed of the JTAG interface in KHz.
259 unsigned jtag_get_speed_khz(void);
260
261
262 enum reset_types {
263 RESET_NONE = 0x0,
264 RESET_HAS_TRST = 0x1,
265 RESET_HAS_SRST = 0x2,
266 RESET_TRST_AND_SRST = 0x3,
267 RESET_SRST_PULLS_TRST = 0x4,
268 RESET_TRST_PULLS_SRST = 0x8,
269 RESET_TRST_OPEN_DRAIN = 0x10,
270 RESET_SRST_PUSH_PULL = 0x20,
271 RESET_SRST_NO_GATING = 0x40,
272 };
273
274 enum reset_types jtag_get_reset_config(void);
275 void jtag_set_reset_config(enum reset_types type);
276
277 void jtag_set_nsrst_delay(unsigned delay);
278 unsigned jtag_get_nsrst_delay(void);
279
280 void jtag_set_ntrst_delay(unsigned delay);
281 unsigned jtag_get_ntrst_delay(void);
282
283 void jtag_set_nsrst_assert_width(unsigned delay);
284 unsigned jtag_get_nsrst_assert_width(void);
285
286 void jtag_set_ntrst_assert_width(unsigned delay);
287 unsigned jtag_get_ntrst_assert_width(void);
288
289 /// @returns The current state of TRST.
290 int jtag_get_trst(void);
291 /// @returns The current state of SRST.
292 int jtag_get_srst(void);
293
294 /// Enable or disable data scan verification checking.
295 void jtag_set_verify(bool enable);
296 /// @returns True if data scan verification will be performed.
297 bool jtag_will_verify(void);
298
299 /// Enable or disable verification of IR scan checking.
300 void jtag_set_verify_capture_ir(bool enable);
301 /// @returns True if IR scan verification will be performed.
302 bool jtag_will_verify_capture_ir(void);
303
304 /** Initialize debug adapter upon startup. */
305 int adapter_init(struct command_context* cmd_ctx);
306
307 /// Shutdown the debug adapter upon program exit.
308 int adapter_quit(void);
309
310 /// Set ms to sleep after jtag_execute_queue() flushes queue. Debug
311 /// purposes.
312 void jtag_set_flush_queue_sleep(int ms);
313
314 /**
315 * Initialize JTAG chain using only a RESET reset. If init fails,
316 * try reset + init.
317 */
318 int jtag_init(struct command_context* cmd_ctx);
319
320 /// reset, then initialize JTAG chain
321 int jtag_init_reset(struct command_context* cmd_ctx);
322 int jtag_register_commands(struct command_context* cmd_ctx);
323 int jtag_init_inner(struct command_context *cmd_ctx);
324
325 /**
326 * @file
327 * The JTAG interface can be implemented with a software or hardware fifo.
328 *
329 * TAP_DRSHIFT and TAP_IRSHIFT are illegal end states; however,
330 * TAP_DRSHIFT/IRSHIFT can be emulated as end states, by using longer
331 * scans.
332 *
333 * Code that is relatively insensitive to the path taken through state
334 * machine (as long as it is JTAG compliant) can use @a endstate for
335 * jtag_add_xxx_scan(). Otherwise, the pause state must be specified as
336 * end state and a subsequent jtag_add_pathmove() must be issued.
337 */
338
339 /**
340 * Generate an IR SCAN with a list of scan fields with one entry for
341 * each enabled TAP.
342 *
343 * If the input field list contains an instruction value for a TAP then
344 * that is used otherwise the TAP is set to bypass.
345 *
346 * TAPs for which no fields are passed are marked as bypassed for
347 * subsequent DR SCANs.
348 *
349 */
350 void jtag_add_ir_scan(struct jtag_tap* tap,
351 struct scan_field* fields, tap_state_t endstate);
352 /**
353 * The same as jtag_add_ir_scan except no verification is performed out
354 * the output values.
355 */
356 void jtag_add_ir_scan_noverify(struct jtag_tap* tap,
357 const struct scan_field *fields, tap_state_t state);
358 /**
359 * Scan out the bits in ir scan mode.
360 *
361 * If in_bits == NULL, discard incoming bits.
362 */
363 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
364 tap_state_t endstate);
365
366
367 /**
368 * Generate a DR SCAN using the fields passed to the function.
369 * For connected TAPs, the function checks in_fields and uses fields
370 * specified there. For bypassed TAPs, the function generates a dummy
371 * 1-bit field. The bypass status of TAPs is set by jtag_add_ir_scan().
372 */
373 void jtag_add_dr_scan(struct jtag_tap* tap, int num_fields,
374 const struct scan_field* fields, tap_state_t endstate);
375 /// A version of jtag_add_dr_scan() that uses the check_value/mask fields
376 void jtag_add_dr_scan_check(struct jtag_tap* tap, int num_fields,
377 struct scan_field* fields, tap_state_t endstate);
378 /**
379 * Scan out the bits in ir scan mode.
380 *
381 * If in_bits == NULL, discard incoming bits.
382 */
383 void jtag_add_plain_dr_scan(int num_bits,
384 const uint8_t *out_bits, uint8_t *in_bits, tap_state_t endstate);
385
386 /**
387 * Defines the type of data passed to the jtag_callback_t interface.
388 * The underlying type must allow storing an @c int or pointer type.
389 */
390 typedef intptr_t jtag_callback_data_t;
391
392 /**
393 * Defines a simple JTAG callback that can allow conversions on data
394 * scanned in from an interface.
395 *
396 * This callback should only be used for conversion that cannot fail.
397 * For conversion types or checks that can fail, use the more complete
398 * variant: jtag_callback_t.
399 */
400 typedef void (*jtag_callback1_t)(jtag_callback_data_t data0);
401
402 /// A simpler version of jtag_add_callback4().
403 void jtag_add_callback(jtag_callback1_t, jtag_callback_data_t data0);
404
405
406 /**
407 * Defines the interface of the JTAG callback mechanism. Such
408 * callbacks can be executed once the queue has been flushed.
409 *
410 * The JTAG queue can be executed synchronously or asynchronously.
411 * Typically for USB, the queue is executed asynchronously. For
412 * low-latency interfaces, the queue may be executed synchronously.
413 *
414 * The callback mechanism is very general and does not make many
415 * assumptions about what the callback does or what its arguments are.
416 * These callbacks are typically executed *after* the *entire* JTAG
417 * queue has been executed for e.g. USB interfaces, and they are
418 * guaranteeed to be invoked in the order that they were queued.
419 *
420 * If the execution of the queue fails before the callbacks, then --
421 * depending on driver implementation -- the callbacks may or may not be
422 * invoked.
423 *
424 * @todo Make that behavior consistent.
425 *
426 * @param data0 Typically used to point to the data to operate on.
427 * Frequently this will be the data clocked in during a shift operation.
428 * @param data1 An integer big enough to use as an @c int or a pointer.
429 * @param data2 An integer big enough to use as an @c int or a pointer.
430 * @param data3 An integer big enough to use as an @c int or a pointer.
431 * @returns an error code
432 */
433 typedef int (*jtag_callback_t)(jtag_callback_data_t data0,
434 jtag_callback_data_t data1,
435 jtag_callback_data_t data2,
436 jtag_callback_data_t data3);
437
438 /**
439 * Run a TAP_RESET reset where the end state is TAP_RESET,
440 * regardless of the start state.
441 */
442 void jtag_add_tlr(void);
443
444 /**
445 * Application code *must* assume that interfaces will
446 * implement transitions between states with different
447 * paths and path lengths through the state diagram. The
448 * path will vary across interface and also across versions
449 * of the same interface over time. Even if the OpenOCD code
450 * is unchanged, the actual path taken may vary over time
451 * and versions of interface firmware or PCB revisions.
452 *
453 * Use jtag_add_pathmove() when specific transition sequences
454 * are required.
455 *
456 * Do not use jtag_add_pathmove() unless you need to, but do use it
457 * if you have to.
458 *
459 * DANGER! If the target is dependent upon a particular sequence
460 * of transitions for things to work correctly(e.g. as a workaround
461 * for an errata that contradicts the JTAG standard), then pathmove
462 * must be used, even if some jtag interfaces happen to use the
463 * desired path. Worse, the jtag interface used for testing a
464 * particular implementation, could happen to use the "desired"
465 * path when transitioning to/from end
466 * state.
467 *
468 * A list of unambigious single clock state transitions, not
469 * all drivers can support this, but it is required for e.g.
470 * XScale and Xilinx support
471 *
472 * Note! TAP_RESET must not be used in the path!
473 *
474 * Note that the first on the list must be reachable
475 * via a single transition from the current state.
476 *
477 * All drivers are required to implement jtag_add_pathmove().
478 * However, if the pathmove sequence can not be precisely
479 * executed, an interface_jtag_add_pathmove() or jtag_execute_queue()
480 * must return an error. It is legal, but not recommended, that
481 * a driver returns an error in all cases for a pathmove if it
482 * can only implement a few transitions and therefore
483 * a partial implementation of pathmove would have little practical
484 * application.
485 *
486 * If an error occurs, jtag_error will contain one of these error codes:
487 * - ERROR_JTAG_NOT_STABLE_STATE -- The final state was not stable.
488 * - ERROR_JTAG_STATE_INVALID -- The path passed through TAP_RESET.
489 * - ERROR_JTAG_TRANSITION_INVALID -- The path includes invalid
490 * state transitions.
491 */
492 void jtag_add_pathmove(int num_states, const tap_state_t* path);
493
494 /**
495 * jtag_add_statemove() moves from the current state to @a goal_state.
496 *
497 * @param goal_state The final TAP state.
498 * @return ERROR_OK on success, or an error code on failure.
499 *
500 * Moves from the current state to the goal \a state.
501 * Both states must be stable.
502 */
503 int jtag_add_statemove(tap_state_t goal_state);
504
505 /**
506 * Goes to TAP_IDLE (if we're not already there), cycle
507 * precisely num_cycles in the TAP_IDLE state, after which move
508 * to @a endstate (unless it is also TAP_IDLE).
509 *
510 * @param num_cycles Number of cycles in TAP_IDLE state. This argument
511 * may be 0, in which case this routine will navigate to @a endstate
512 * via TAP_IDLE.
513 * @param endstate The final state.
514 */
515 void jtag_add_runtest(int num_cycles, tap_state_t endstate);
516
517 /**
518 * A reset of the TAP state machine can be requested.
519 *
520 * Whether tms or trst reset is used depends on the capabilities of
521 * the target and jtag interface(reset_config command configures this).
522 *
523 * srst can driver a reset of the TAP state machine and vice
524 * versa
525 *
526 * Application code may need to examine value of jtag_reset_config
527 * to determine the proper codepath
528 *
529 * DANGER! Even though srst drives trst, trst might not be connected to
530 * the interface, and it might actually be *harmful* to assert trst in this case.
531 *
532 * This is why combinations such as "reset_config srst_only srst_pulls_trst"
533 * are supported.
534 *
535 * only req_tlr_or_trst and srst can have a transition for a
536 * call as the effects of transitioning both at the "same time"
537 * are undefined, but when srst_pulls_trst or vice versa,
538 * then trst & srst *must* be asserted together.
539 */
540 void jtag_add_reset(int req_tlr_or_trst, int srst);
541
542 void jtag_add_sleep(uint32_t us);
543
544 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state t);
545
546 /**
547 * Function jtag_add_clocks
548 * first checks that the state in which the clocks are to be issued is
549 * stable, then queues up num_cycles clocks for transmission.
550 */
551 void jtag_add_clocks(int num_cycles);
552
553
554 /**
555 * For software FIFO implementations, the queued commands can be executed
556 * during this call or earlier. A sw queue might decide to push out
557 * some of the jtag_add_xxx() operations once the queue is "big enough".
558 *
559 * This fn will return an error code if any of the prior jtag_add_xxx()
560 * calls caused a failure, e.g. check failure. Note that it does not
561 * matter if the operation was executed *before* jtag_execute_queue(),
562 * jtag_execute_queue() will still return an error code.
563 *
564 * All jtag_add_xxx() calls that have in_handler != NULL will have been
565 * executed when this fn returns, but if what has been queued only
566 * clocks data out, without reading anything back, then JTAG could
567 * be running *after* jtag_execute_queue() returns. The API does
568 * not define a way to flush a hw FIFO that runs *after*
569 * jtag_execute_queue() returns.
570 *
571 * jtag_add_xxx() commands can either be executed immediately or
572 * at some time between the jtag_add_xxx() fn call and jtag_execute_queue().
573 */
574 int jtag_execute_queue(void);
575
576 /// same as jtag_execute_queue() but does not clear the error flag
577 void jtag_execute_queue_noclear(void);
578
579 /// @returns the number of times the scan queue has been flushed
580 int jtag_get_flush_queue_count(void);
581
582 /// Report Tcl event to all TAPs
583 void jtag_notify_event(enum jtag_event);
584
585
586 /* can be implemented by hw + sw */
587 int jtag_power_dropout(int* dropout);
588 int jtag_srst_asserted(int* srst_asserted);
589
590 /* JTAG support functions */
591
592 /**
593 * Execute jtag queue and check value with an optional mask.
594 * @param field Pointer to scan field.
595 * @param value Pointer to scan value.
596 * @param mask Pointer to scan mask; may be NULL.
597 * @returns Nothing, but calls jtag_set_error() on any error.
598 */
599 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask);
600
601 void jtag_sleep(uint32_t us);
602
603 /*
604 * The JTAG subsystem defines a number of error codes,
605 * using codes between -100 and -199.
606 */
607 #define ERROR_JTAG_INIT_FAILED (-100)
608 #define ERROR_JTAG_INVALID_INTERFACE (-101)
609 #define ERROR_JTAG_NOT_IMPLEMENTED (-102)
610 #define ERROR_JTAG_TRST_ASSERTED (-103)
611 #define ERROR_JTAG_QUEUE_FAILED (-104)
612 #define ERROR_JTAG_NOT_STABLE_STATE (-105)
613 #define ERROR_JTAG_DEVICE_ERROR (-107)
614 #define ERROR_JTAG_STATE_INVALID (-108)
615 #define ERROR_JTAG_TRANSITION_INVALID (-109)
616 #define ERROR_JTAG_INIT_SOFT_FAIL (-110)
617
618 /**
619 * jtag_add_dr_out() is a version of jtag_add_dr_scan() which
620 * only scans data out. It operates on 32 bit integers instead
621 * of 8 bit, which makes it a better impedance match with
622 * the calling code which often operate on 32 bit integers.
623 *
624 * Current or end_state can not be TAP_RESET. end_state can be TAP_INVALID
625 *
626 * num_bits[i] is the number of bits to clock out from value[i] LSB first.
627 *
628 * If the device is in bypass, then that is an error condition in
629 * the caller code that is not detected by this fn, whereas
630 * jtag_add_dr_scan() does detect it. Similarly if the device is not in
631 * bypass, data must be passed to it.
632 *
633 * If anything fails, then jtag_error will be set and jtag_execute() will
634 * return an error. There is no way to determine if there was a failure
635 * during this function call.
636 *
637 * This is an inline fn to speed up embedded hosts. Also note that
638 * interface_jtag_add_dr_out() can be a *small* inline function for
639 * embedded hosts.
640 *
641 * There is no jtag_add_dr_outin() version of this fn that also allows
642 * clocking data back in. Patches gladly accepted!
643 */
644
645
646 /**
647 * Set the current JTAG core execution error, unless one was set
648 * by a previous call previously. Driver or application code must
649 * use jtag_error_clear to reset jtag_error once this routine has been
650 * called with a non-zero error code.
651 */
652 void jtag_set_error(int error);
653 /**
654 * Resets jtag_error to ERROR_OK, returning its previous value.
655 * @returns The previous value of @c jtag_error.
656 */
657 int jtag_error_clear(void);
658
659 /**
660 * Return true if it's safe for a background polling task to access the
661 * JTAG scan chain. Polling may be explicitly disallowed, and is also
662 * unsafe while nTRST is active or the JTAG clock is gated off.
663 */
664 bool is_jtag_poll_safe(void);
665
666 /**
667 * Return flag reporting whether JTAG polling is disallowed.
668 */
669 bool jtag_poll_get_enabled(void);
670
671 /**
672 * Assign flag reporting whether JTAG polling is disallowed.
673 */
674 void jtag_poll_set_enabled(bool value);
675
676
677 /* The minidriver may have inline versions of some of the low
678 * level APIs that are used in inner loops. */
679 #include <jtag/minidriver.h>
680
681 bool transport_is_jtag(void);
682
683 int jim_jtag_newtap(Jim_Interp *interp, int argc, Jim_Obj *const *argv);
684
685 #endif /* JTAG_H */

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)