Clean up references to old tap_state names
[openocd.git] / src / jtag / bitbang.c
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007,2008 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifdef HAVE_CONFIG_H
24 #include "config.h"
25 #endif
26
27 #include "bitbang.h"
28
29 /* project specific includes */
30 #include "log.h"
31 #include "types.h"
32 #include "jtag.h"
33 #include "configuration.h"
34
35 /* system includes */
36 #include <string.h>
37 #include <stdlib.h>
38 #include <unistd.h>
39
40 bitbang_interface_t *bitbang_interface;
41
42
43 /* DANGER!!!! clock absolutely *MUST* be 0 in idle or reset won't work!
44 *
45 * Set this to 1 and str912 reset halt will fail.
46 *
47 * If someone can submit a patch with an explanation it will be greatly
48 * appreciated, but as far as I can tell (ØH) DCLK is generated upon
49 * clk=0 in TAP_IDLE. Good luck deducing that from the ARM documentation!
50 * The ARM documentation uses the term "DCLK is asserted while in the TAP_IDLE
51 * state". With hardware there is no such thing as *while* in a state. There
52 * are only edges. So clk => 0 is in fact a very subtle state transition that
53 * happens *while* in the TAP_IDLE state. "#&¤"#¤&"#&"#&
54 *
55 * For "reset halt" the last thing that happens before srst is asserted
56 * is that the breakpoint is set up. If DCLK is not wiggled one last
57 * time before the reset, then the breakpoint is not set up and
58 * "reset halt" will fail to halt.
59 *
60 */
61 #define CLOCK_IDLE() 0
62
63 int bitbang_execute_queue(void);
64
65 /* The bitbang driver leaves the TCK 0 when in idle */
66
67 void bitbang_end_state(enum tap_state state)
68 {
69 if (tap_move_map[state] != -1)
70 end_state = state;
71 else
72 {
73 LOG_ERROR("BUG: %i is not a valid end state", state);
74 exit(-1);
75 }
76 }
77
78 void bitbang_state_move(void) {
79
80 int i=0, tms=0;
81 u8 tms_scan = TAP_MOVE(cur_state, end_state);
82
83 for (i = 0; i < 7; i++)
84 {
85 tms = (tms_scan >> i) & 1;
86 bitbang_interface->write(0, tms, 0);
87 bitbang_interface->write(1, tms, 0);
88 }
89 bitbang_interface->write(CLOCK_IDLE(), tms, 0);
90
91 cur_state = end_state;
92 }
93
94 void bitbang_path_move(pathmove_command_t *cmd)
95 {
96 int num_states = cmd->num_states;
97 int state_count;
98 int tms = 0;
99
100 state_count = 0;
101 while (num_states)
102 {
103 if (tap_transitions[cur_state].low == cmd->path[state_count])
104 {
105 tms = 0;
106 }
107 else if (tap_transitions[cur_state].high == cmd->path[state_count])
108 {
109 tms = 1;
110 }
111 else
112 {
113 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition", tap_state_strings[cur_state], tap_state_strings[cmd->path[state_count]]);
114 exit(-1);
115 }
116
117 bitbang_interface->write(0, tms, 0);
118 bitbang_interface->write(1, tms, 0);
119
120 cur_state = cmd->path[state_count];
121 state_count++;
122 num_states--;
123 }
124
125 bitbang_interface->write(CLOCK_IDLE(), tms, 0);
126
127 end_state = cur_state;
128 }
129
130 void bitbang_runtest(int num_cycles)
131 {
132 int i;
133
134 enum tap_state saved_end_state = end_state;
135
136 /* only do a state_move when we're not already in IDLE */
137 if (cur_state != TAP_IDLE)
138 {
139 bitbang_end_state(TAP_IDLE);
140 bitbang_state_move();
141 }
142
143 /* execute num_cycles */
144 for (i = 0; i < num_cycles; i++)
145 {
146 bitbang_interface->write(0, 0, 0);
147 bitbang_interface->write(1, 0, 0);
148 }
149 bitbang_interface->write(CLOCK_IDLE(), 0, 0);
150
151 /* finish in end_state */
152 bitbang_end_state(saved_end_state);
153 if (cur_state != end_state)
154 bitbang_state_move();
155 }
156
157 void bitbang_scan(int ir_scan, enum scan_type type, u8 *buffer, int scan_size)
158 {
159 enum tap_state saved_end_state = end_state;
160 int bit_cnt;
161
162 if (!((!ir_scan && (cur_state == TAP_DRSHIFT)) || (ir_scan && (cur_state == TAP_IRSHIFT))))
163 {
164 if (ir_scan)
165 bitbang_end_state(TAP_IRSHIFT);
166 else
167 bitbang_end_state(TAP_DRSHIFT);
168
169 bitbang_state_move();
170 bitbang_end_state(saved_end_state);
171 }
172
173 for (bit_cnt = 0; bit_cnt < scan_size; bit_cnt++)
174 {
175 int val=0;
176 int tms=(bit_cnt==scan_size-1) ? 1 : 0;
177 int tdi;
178 int bytec=bit_cnt/8;
179 int bcval=1<<(bit_cnt % 8);
180
181 /* if we're just reading the scan, but don't care about the output
182 * default to outputting 'low', this also makes valgrind traces more readable,
183 * as it removes the dependency on an uninitialised value
184 */
185 tdi=0;
186 if ((type != SCAN_IN) && (buffer[bytec] & bcval))
187 tdi=1;
188
189 bitbang_interface->write(0, tms, tdi);
190
191 if (type!=SCAN_OUT)
192 val=bitbang_interface->read();
193
194 bitbang_interface->write(1, tms, tdi);
195
196 if (type != SCAN_OUT)
197 {
198 if (val)
199 buffer[bytec] |= bcval;
200 else
201 buffer[bytec] &= ~bcval;
202 }
203 }
204
205 /* TAP_DRSHIFT & TAP_IRSHIFT are illegal end states, so we always transition to the pause
206 * state which is a legal stable state from which statemove will work.
207 *
208 * Exit1 -> Pause
209 */
210 bitbang_interface->write(0, 0, 0);
211 bitbang_interface->write(1, 0, 0);
212 bitbang_interface->write(CLOCK_IDLE(), 0, 0);
213
214 if (ir_scan)
215 cur_state = TAP_IRPAUSE;
216 else
217 cur_state = TAP_DRPAUSE;
218
219 if (cur_state != end_state)
220 bitbang_state_move();
221 }
222
223 int bitbang_execute_queue(void)
224 {
225 jtag_command_t *cmd = jtag_command_queue; /* currently processed command */
226 int scan_size;
227 enum scan_type type;
228 u8 *buffer;
229 int retval;
230
231 if (!bitbang_interface)
232 {
233 LOG_ERROR("BUG: Bitbang interface called, but not yet initialized");
234 exit(-1);
235 }
236
237 /* return ERROR_OK, unless a jtag_read_buffer returns a failed check
238 * that wasn't handled by a caller-provided error handler
239 */
240 retval = ERROR_OK;
241
242 if(bitbang_interface->blink)
243 bitbang_interface->blink(1);
244
245 while (cmd)
246 {
247 switch (cmd->type)
248 {
249 case JTAG_END_STATE:
250 #ifdef _DEBUG_JTAG_IO_
251 LOG_DEBUG("end_state: %i", cmd->cmd.end_state->end_state);
252 #endif
253 if (cmd->cmd.end_state->end_state != -1)
254 bitbang_end_state(cmd->cmd.end_state->end_state);
255 break;
256 case JTAG_RESET:
257 #ifdef _DEBUG_JTAG_IO_
258 LOG_DEBUG("reset trst: %i srst %i", cmd->cmd.reset->trst, cmd->cmd.reset->srst);
259 #endif
260 if ((cmd->cmd.reset->trst == 1) || (cmd->cmd.reset->srst && (jtag_reset_config & RESET_SRST_PULLS_TRST)))
261 {
262 cur_state = TAP_RESET;
263 }
264 bitbang_interface->reset(cmd->cmd.reset->trst, cmd->cmd.reset->srst);
265 break;
266 case JTAG_RUNTEST:
267 #ifdef _DEBUG_JTAG_IO_
268 LOG_DEBUG("runtest %i cycles, end in %i", cmd->cmd.runtest->num_cycles, cmd->cmd.runtest->end_state);
269 #endif
270 if (cmd->cmd.runtest->end_state != -1)
271 bitbang_end_state(cmd->cmd.runtest->end_state);
272 bitbang_runtest(cmd->cmd.runtest->num_cycles);
273 break;
274 case JTAG_STATEMOVE:
275 #ifdef _DEBUG_JTAG_IO_
276 LOG_DEBUG("statemove end in %i", cmd->cmd.statemove->end_state);
277 #endif
278 if (cmd->cmd.statemove->end_state != -1)
279 bitbang_end_state(cmd->cmd.statemove->end_state);
280 bitbang_state_move();
281 break;
282 case JTAG_PATHMOVE:
283 #ifdef _DEBUG_JTAG_IO_
284 LOG_DEBUG("pathmove: %i states, end in %i", cmd->cmd.pathmove->num_states, cmd->cmd.pathmove->path[cmd->cmd.pathmove->num_states - 1]);
285 #endif
286 bitbang_path_move(cmd->cmd.pathmove);
287 break;
288 case JTAG_SCAN:
289 #ifdef _DEBUG_JTAG_IO_
290 LOG_DEBUG("%s scan end in %i", (cmd->cmd.scan->ir_scan) ? "IR" : "DR", cmd->cmd.scan->end_state);
291 #endif
292 if (cmd->cmd.scan->end_state != -1)
293 bitbang_end_state(cmd->cmd.scan->end_state);
294 scan_size = jtag_build_buffer(cmd->cmd.scan, &buffer);
295 type = jtag_scan_type(cmd->cmd.scan);
296 bitbang_scan(cmd->cmd.scan->ir_scan, type, buffer, scan_size);
297 if (jtag_read_buffer(buffer, cmd->cmd.scan) != ERROR_OK)
298 retval = ERROR_JTAG_QUEUE_FAILED;
299 if (buffer)
300 free(buffer);
301 break;
302 case JTAG_SLEEP:
303 #ifdef _DEBUG_JTAG_IO_
304 LOG_DEBUG("sleep %i", cmd->cmd.sleep->us);
305 #endif
306 jtag_sleep(cmd->cmd.sleep->us);
307 break;
308 default:
309 LOG_ERROR("BUG: unknown JTAG command type encountered");
310 exit(-1);
311 }
312 cmd = cmd->next;
313 }
314 if(bitbang_interface->blink)
315 bitbang_interface->blink(0);
316
317 return retval;
318 }
319

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)