SimonQian <simonqian@SimonQian.com>:
[openocd.git] / src / svf / svf.c
index c94461cabcab9ced807dcbb9f3511583a730f610..caaacabafdb30eb6c1b8b87c2727fc10af20649c 100644 (file)
 #endif
 
 #include "svf.h"
-
 #include "jtag.h"
-#include "command.h"
-#include "log.h"
 #include "time_support.h"
 
-#include <ctype.h>
-#include <stdlib.h>
-#include <unistd.h>
-#include <sys/types.h>
-#include <sys/stat.h>
-#include <fcntl.h>
-#include <string.h>
-
-#include <sys/time.h>
-#include <time.h>
 
 // SVF command
 typedef enum
@@ -231,6 +218,54 @@ void svf_free_xxd_para(svf_xxr_para_t *para)
        }
 }
 
+unsigned svf_get_mask_u32(int bitlen)
+{
+       u32 bitmask;
+
+       if (bitlen < 0)
+       {
+               bitmask = 0;
+       }
+       else if (bitlen >= 32)
+       {
+               bitmask = 0xFFFFFFFF;
+       }
+       else
+       {
+               bitmask = (1 << bitlen) - 1;
+       }
+
+       return bitmask;
+}
+
+static const char* tap_state_svf_name(tap_state_t state)
+{
+       const char* ret;
+
+       switch( state )
+       {
+       case TAP_RESET:         ret = "RESET";          break;
+       case TAP_IDLE:          ret = "IDLE";           break;
+       case TAP_DRSELECT:      ret = "DRSELECT";       break;
+       case TAP_DRCAPTURE: ret = "DRCAPTURE";  break;
+       case TAP_DRSHIFT:       ret = "DRSHIFT";        break;
+       case TAP_DREXIT1:       ret = "DREXIT1";        break;
+       case TAP_DRPAUSE:       ret = "DRPAUSE";        break;
+       case TAP_DREXIT2:       ret = "DREXIT2";        break;
+       case TAP_DRUPDATE:      ret = "DRUPDATE";       break;
+       case TAP_IRSELECT:      ret = "IRSELECT";       break;
+       case TAP_IRCAPTURE: ret = "IRCAPTURE";  break;
+       case TAP_IRSHIFT:       ret = "IRSHIFT";        break;
+       case TAP_IREXIT1:       ret = "IREXIT1";        break;
+       case TAP_IRPAUSE:       ret = "IRPAUSE";        break;
+       case TAP_IREXIT2:       ret = "IREXIT2";        break;
+       case TAP_IRUPDATE:      ret = "IRUPDATE";       break;
+       default:                        ret = "???";            break;
+       }
+
+       return ret;
+}
+
 static int handle_svf_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
 #define SVF_NUM_OF_OPTIONS                     1
@@ -318,7 +353,7 @@ static int handle_svf_command(struct command_context_s *cmd_ctx, char *cmd, char
        memcpy(&svf_para, &svf_para_init, sizeof(svf_para));
        for (i = 0; i < (int)dimof(svf_tap_state_name); i++)
        {
-               svf_tap_state_name[i] = (char *)tap_state_name(i);
+               svf_tap_state_name[i] = (char *)tap_state_svf_name(i);
        }
        // TAP_RESET
        jtag_add_tlr();
@@ -343,7 +378,7 @@ static int handle_svf_command(struct command_context_s *cmd_ctx, char *cmd, char
        }
 
        // print time
-       command_print(cmd_ctx, "%d ms used", timeval_ms() - time_ago);
+       command_print(cmd_ctx, "%lld ms used", timeval_ms() - time_ago);
 
 free_all:
 
@@ -641,32 +676,29 @@ static int svf_copy_hexstring_to_binary(char *str, u8 **bin, int orig_bit_len, i
 
 static int svf_check_tdo(void)
 {
-       int i, j, byte_len, index;
+       int i, len, index;
 
        for (i = 0; i < svf_check_tdo_para_index; i++)
        {
-               if (svf_check_tdo_para[i].enabled)
+               index = svf_check_tdo_para[i].buffer_offset;
+               len = svf_check_tdo_para[i].bit_len;
+               if ((svf_check_tdo_para[i].enabled) 
+                       && buf_cmp_mask(&svf_tdi_buffer[index], &svf_tdo_buffer[index], &svf_mask_buffer[index], len))
                {
-                       byte_len = (svf_check_tdo_para[i].bit_len + 7) >> 3;
-                       index = svf_check_tdo_para[i].buffer_offset;
-                       for (j = 0; j < byte_len; j++)
-                       {
-                               if ((svf_tdi_buffer[index + j] & svf_mask_buffer[index + j]) != svf_tdo_buffer[index + j])
-                               {
-                                       unsigned bitmask = (1 << svf_check_tdo_para[i].bit_len) - 1;
-                                       unsigned received, expected, tapmask;
-                                       memcpy(&received, svf_tdi_buffer + index, sizeof(unsigned));
-                                       memcpy(&expected, svf_tdo_buffer + index, sizeof(unsigned));
-                                       memcpy(&tapmask, svf_mask_buffer + index, sizeof(unsigned));
-                                       LOG_ERROR("tdo check error at line %d, "
-                                               "read = 0x%X, want = 0x%X, mask = 0x%X",
-                                                               svf_check_tdo_para[i].line_num,
-                                                               received & bitmask,
-                                                               expected & bitmask,
-                                                               tapmask & bitmask);
-                                       return ERROR_FAIL;
-                               }
-                       }
+                       unsigned bitmask;
+                       unsigned received, expected, tapmask;
+                       bitmask = svf_get_mask_u32(svf_check_tdo_para[i].bit_len);
+
+                       memcpy(&received, svf_tdi_buffer + index, sizeof(unsigned));
+                       memcpy(&expected, svf_tdo_buffer + index, sizeof(unsigned));
+                       memcpy(&tapmask, svf_mask_buffer + index, sizeof(unsigned));
+                       LOG_ERROR("tdo check error at line %d", 
+                                         svf_check_tdo_para[i].line_num);
+                       LOG_ERROR("read = 0x%X, want = 0x%X, mask = 0x%X", 
+                                         received & bitmask, 
+                                         expected & bitmask, 
+                                         tapmask & bitmask);
+                       return ERROR_FAIL;
                }
        }
        svf_check_tdo_para_index = 0;
@@ -708,9 +740,8 @@ static int svf_execute_tap(void)
 }
 
 // not good to use this
-extern jtag_command_t** jtag_get_last_command_p(void);
 extern void* cmd_queue_alloc(size_t size);
-extern jtag_command_t **last_comand_pointer;
+extern void jtag_queue_command(jtag_command_t * cmd);
 
 static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
 {
@@ -720,9 +751,6 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
        // tmp variable
        int i_tmp;
 
-       // not good to use this
-       jtag_command_t **last_cmd;
-
        // for RUNTEST
        int run_count;
        float min_time, max_time;
@@ -876,7 +904,7 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                                LOG_ERROR("fail to parse hex value");
                                return ERROR_FAIL;
                        }
-                       LOG_DEBUG("\t%s = 0x%X", argus[i], (**(int**)pbuffer_tmp) & ((1 << (xxr_para_tmp->len)) - 1));
+                       LOG_DEBUG("\t%s = 0x%X", argus[i], (**(int**)pbuffer_tmp) & svf_get_mask_u32(xxr_para_tmp->len));
                }
                // If a command changes the length of the last scan of the same type and the MASK parameter is absent,
                // the mask pattern used is all cares
@@ -985,7 +1013,7 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                        field.in_value = &svf_tdi_buffer[svf_buffer_index];
                        
                        
-                       field.in_handler = NULL;
+                       
                        
                        jtag_add_plain_dr_scan(1, &field, svf_para.dr_end_state);
 
@@ -1086,7 +1114,7 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                        field.in_value = &svf_tdi_buffer[svf_buffer_index];
                        
                        
-                       field.in_handler = NULL;
+                       
                        
                        jtag_add_plain_ir_scan(1, &field, svf_para.ir_end_state);
 
@@ -1193,15 +1221,15 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                                // enter into run_state if necessary
                                if (last_state != svf_para.runtest_run_state)
                                {
-                                       last_cmd = jtag_get_last_command_p();
-                                       *last_cmd = cmd_queue_alloc(sizeof(jtag_command_t));
-                                       last_comand_pointer = &((*last_cmd)->next);
-                                       (*last_cmd)->next = NULL;
-                                       (*last_cmd)->type = JTAG_STATEMOVE;
-                                       (*last_cmd)->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
-                                       (*last_cmd)->cmd.statemove->end_state = svf_para.runtest_run_state;
-
-                                       cmd_queue_end_state = cmd_queue_cur_state = (*last_cmd)->cmd.statemove->end_state;
+                                       jtag_command_t * cmd = cmd_queue_alloc(sizeof(jtag_command_t));
+                                       
+                                       jtag_queue_command(cmd);
+                               
+                                       cmd->type = JTAG_STATEMOVE;
+                                       cmd->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
+                                       cmd->cmd.statemove->end_state = svf_para.runtest_run_state;
+
+                                       cmd_queue_end_state = cmd_queue_cur_state = cmd->cmd.statemove->end_state;
                                }
 
                                // call jtag_add_clocks
@@ -1210,15 +1238,14 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                                if (svf_para.runtest_end_state != svf_para.runtest_run_state)
                                {
                                        // move to end_state
-                                       last_cmd = jtag_get_last_command_p();
-                                       *last_cmd = cmd_queue_alloc(sizeof(jtag_command_t));
-                                       last_comand_pointer = &((*last_cmd)->next);
-                                       (*last_cmd)->next = NULL;
-                                       (*last_cmd)->type = JTAG_STATEMOVE;
-                                       (*last_cmd)->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
-                                       (*last_cmd)->cmd.statemove->end_state = svf_para.runtest_end_state;
-
-                                       cmd_queue_end_state = cmd_queue_cur_state = (*last_cmd)->cmd.statemove->end_state;
+                                       jtag_command_t * cmd = cmd_queue_alloc(sizeof(jtag_command_t));
+                                       
+                                       jtag_queue_command(cmd);
+                                       cmd->type = JTAG_STATEMOVE;
+                                       cmd->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
+                                       cmd->cmd.statemove->end_state = svf_para.runtest_end_state;
+
+                                       cmd_queue_end_state = cmd_queue_cur_state = cmd->cmd.statemove->end_state;
                                }
                                last_state = svf_para.runtest_end_state;
 #else
@@ -1307,15 +1334,15 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                        if (svf_tap_state_is_stable(state))
                        {
                                // TODO: move to state
-                               last_cmd = jtag_get_last_command_p();
-                               *last_cmd = cmd_queue_alloc(sizeof(jtag_command_t));
-                               last_comand_pointer = &((*last_cmd)->next);
-                               (*last_cmd)->next = NULL;
-                               (*last_cmd)->type = JTAG_STATEMOVE;
-                               (*last_cmd)->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
-                               (*last_cmd)->cmd.statemove->end_state = state;
-
-                               cmd_queue_end_state = cmd_queue_cur_state = (*last_cmd)->cmd.statemove->end_state;
+                               jtag_command_t * cmd = cmd_queue_alloc(sizeof(jtag_command_t));
+                                       
+                               jtag_queue_command(cmd);
+                               
+                               cmd->type = JTAG_STATEMOVE;
+                               cmd->cmd.statemove = cmd_queue_alloc(sizeof(statemove_command_t));
+                               cmd->cmd.statemove->end_state = state;
+
+                               cmd_queue_end_state = cmd_queue_cur_state = cmd->cmd.statemove->end_state;
                                last_state = state;
 
                                LOG_DEBUG("\tmove to %s by state_move", svf_tap_state_name[state]);
@@ -1390,7 +1417,7 @@ static int svf_run_command(struct command_context_s *cmd_ctx, char *cmd_str)
                                int read_value;
                                memcpy(&read_value, svf_tdi_buffer, sizeof(int));
                                // in debug mode, data is from index 0
-                               int read_mask = (1 << (svf_check_tdo_para[0].bit_len)) - 1;
+                               int read_mask = svf_get_mask_u32(svf_check_tdo_para[0].bit_len);
                                LOG_DEBUG("\tTDO read = 0x%X", read_value & read_mask);
                        }
                }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)