- Update tap_state_strings to correspond to SVF state names
[openocd.git] / src / jtag / zy1000.c
index a50ed529c8b6990c328c2cd623631f4e36222001..160a51ef81a795432dd60032464217f337818b69 100644 (file)
@@ -81,8 +81,8 @@ static bool readPowerDropout()
 {
        cyg_uint32 state;
        // sample and clear power dropout
-       HAL_WRITE_UINT32(0x08000010, 0x80);
-       HAL_READ_UINT32(0x08000010, state);
+       HAL_WRITE_UINT32(ZY1000_JTAG_BASE+0x10, 0x80);
+       HAL_READ_UINT32(ZY1000_JTAG_BASE+0x10, state);
        bool powerDropout;
        powerDropout = (state & 0x80) != 0;
        return powerDropout;
@@ -93,8 +93,8 @@ static bool readSRST()
 {
        cyg_uint32 state;
        // sample and clear SRST sensing
-       HAL_WRITE_UINT32(0x08000010, 0x00000040);
-       HAL_READ_UINT32(0x08000010, state);
+       HAL_WRITE_UINT32(ZY1000_JTAG_BASE+0x10, 0x00000040);
+       HAL_READ_UINT32(ZY1000_JTAG_BASE+0x10, state);
        bool srstAsserted;
        srstAsserted = (state & 0x40) != 0;
        return srstAsserted;
@@ -153,35 +153,35 @@ void zy1000_reset(int trst, int srst)
        LOG_DEBUG("zy1000 trst=%d, srst=%d", trst, srst);
        if(!srst)
        {
-               ZY1000_POKE(0x08000014, 0x00000001);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x14, 0x00000001);
        }
        else
        {
                /* Danger!!! if clk!=0 when in
-                * idle in TAP_RTI, reset halt on str912 will fail.
+                * idle in TAP_IDLE, reset halt on str912 will fail.
                 */
-               ZY1000_POKE(0x08000010, 0x00000001);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x10, 0x00000001);
        }
 
        if(!trst)
        {
-               ZY1000_POKE(0x08000014, 0x00000002);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x14, 0x00000002);
        }
        else
        {
                /* assert reset */
-               ZY1000_POKE(0x08000010, 0x00000002);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x10, 0x00000002);
        }
 
        if (trst||(srst&&(jtag_reset_config & RESET_SRST_PULLS_TRST)))
        {
                waitIdle();
-               /* we're now in the TLR state until trst is deasserted */
-               ZY1000_POKE(0x08000020, TAP_TLR);
+               /* we're now in the RESET state until trst is deasserted */
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x20, TAP_RESET);
        } else
        {
                /* We'll get RCLK failure when we assert TRST, so clear any false positives here */
-               ZY1000_POKE(0x08000014, 0x400);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x14, 0x400);
        }
 
        /* wait for srst to float back up */
@@ -216,7 +216,7 @@ int zy1000_speed(int speed)
        {
                /*0 means RCLK*/
                speed = 0;
-               ZY1000_POKE(0x08000010, 0x100);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x10, 0x100);
                LOG_DEBUG("jtag_speed using RCLK");
        }
        else
@@ -228,8 +228,8 @@ int zy1000_speed(int speed)
                }
 
                LOG_USER("jtag_speed %d => JTAG clk=%f", speed, 64.0/(float)speed);
-               ZY1000_POKE(0x08000014, 0x100);
-               ZY1000_POKE(0x0800001c, speed&~1);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x14, 0x100);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x1c, speed&~1);
        }
        return ERROR_OK;
 }
@@ -242,7 +242,7 @@ int zy1000_register_commands(struct command_context_s *cmd_ctx)
 
 int zy1000_init(void)
 {
-       ZY1000_POKE(0x08000010, 0x30); // Turn on LED1 & LED2
+       ZY1000_POKE(ZY1000_JTAG_BASE+0x10, 0x30); // Turn on LED1 & LED2
 
         /* deassert resets. Important to avoid infinite loop waiting for SRST to deassert */
        zy1000_reset(0, 0);
@@ -266,51 +266,51 @@ int zy1000_quit(void)
 int loadFile(const char *fileName, void **data, int *len)
 {
        FILE * pFile;
-       pFile = fopen (fileName,"rb");
+       pFile = fopen(fileName,"rb");
        if (pFile==NULL)
        {
                LOG_ERROR("Can't open %s\n", fileName);
                return ERROR_JTAG_DEVICE_ERROR;
        }
-    if (fseek (pFile, 0, SEEK_END)!=0)
-    {
+       if (fseek(pFile, 0, SEEK_END)!=0)
+       {
                LOG_ERROR("Can't open %s\n", fileName);
                fclose(pFile);
                return ERROR_JTAG_DEVICE_ERROR;
-    }
-    *len=ftell (pFile);
-    if (*len==-1)
-    {
+       }
+       *len=ftell(pFile);
+       if (*len==-1)
+       {
                LOG_ERROR("Can't open %s\n", fileName);
                fclose(pFile);
                return ERROR_JTAG_DEVICE_ERROR;
-    }
+       }
 
-    if (fseek (pFile, 0, SEEK_SET)!=0)
-    {
+       if (fseek(pFile, 0, SEEK_SET)!=0)
+       {
                LOG_ERROR("Can't open %s\n", fileName);
                fclose(pFile);
                return ERROR_JTAG_DEVICE_ERROR;
-    }
-    *data=malloc(*len+1);
-    if (*data==NULL)
-    {
+       }
+       *data=malloc(*len+1);
+       if (*data==NULL)
+       {
                LOG_ERROR("Can't open %s\n", fileName);
                fclose(pFile);
                return ERROR_JTAG_DEVICE_ERROR;
-    }
+       }
 
-    if (fread(*data, 1, *len, pFile)!=*len)
-    {
+       if (fread(*data, 1, *len, pFile)!=*len)
+       {
                fclose(pFile);
-       free(*data);
+       free(*data);
                LOG_ERROR("Can't open %s\n", fileName);
                return ERROR_JTAG_DEVICE_ERROR;
-    }
-    fclose (pFile);
-    *(((char *)(*data))+*len)=0; /* sentinel */
+       }
+       fclose(pFile);
+       *(((char *)(*data))+*len)=0; /* sentinel */
 
-    return ERROR_OK;
+       return ERROR_OK;
 
 
 
@@ -324,9 +324,9 @@ int interface_jtag_execute_queue(void)
        cyg_uint32 empty;
 
        waitIdle();
-       ZY1000_PEEK(0x08000010, empty);
+       ZY1000_PEEK(ZY1000_JTAG_BASE+0x10, empty);
        /* clear JTAG error register */
-       ZY1000_POKE(0x08000014, 0x400);
+       ZY1000_POKE(ZY1000_JTAG_BASE+0x14, 0x400);
 
        if ((empty&0x400)!=0)
        {
@@ -347,7 +347,7 @@ static cyg_uint32 getShiftValue()
 {
        cyg_uint32 value;
        waitIdle();
-       ZY1000_PEEK(0x0800000c, value);
+       ZY1000_PEEK(ZY1000_JTAG_BASE+0xc, value);
        VERBOSE(LOG_INFO("getShiftValue %08x", value));
        return value;
 }
@@ -356,7 +356,7 @@ static cyg_uint32 getShiftValueFlip()
 {
        cyg_uint32 value;
        waitIdle();
-       ZY1000_PEEK(0x08000018, value);
+       ZY1000_PEEK(ZY1000_JTAG_BASE+0x18, value);
        VERBOSE(LOG_INFO("getShiftValue %08x (flipped)", value));
        return value;
 }
@@ -365,12 +365,12 @@ static cyg_uint32 getShiftValueFlip()
 #if 0
 static void shiftValueInnerFlip(const enum tap_state state, const enum tap_state endState, int repeat, cyg_uint32 value)
 {
-       VERBOSE(LOG_INFO("shiftValueInner %s %s %d %08x (flipped)", tap_state_strings[state], tap_state_strings[endState], repeat, value));
+       VERBOSE(LOG_INFO("shiftValueInner %s %s %d %08x (flipped)", jtag_state_name(state), jtag_state_name(endState), repeat, value));
        cyg_uint32 a,b;
        a=state;
        b=endState;
-       ZY1000_POKE(0x0800000c, value);
-       ZY1000_POKE(0x08000008, (1<<15)|(repeat<<8)|(a<<4)|b);
+       ZY1000_POKE(ZY1000_JTAG_BASE+0xc, value);
+       ZY1000_POKE(ZY1000_JTAG_BASE+0x8, (1<<15)|(repeat<<8)|(a<<4)|b);
        VERBOSE(getShiftValueFlip());
 }
 #endif
@@ -429,7 +429,7 @@ static __inline void scanFields(int num_fields, scan_field_t *fields, enum tap_s
                        enum tap_state pause_state;
                        int l;
                        k=num_bits-j;
-                       pause_state=(shiftState==TAP_SD)?TAP_SD:TAP_SI;
+                       pause_state=(shiftState==TAP_DRSHIFT)?TAP_DRSHIFT:TAP_IRSHIFT;
                        if (k>32)
                        {
                                k=32;
@@ -437,7 +437,7 @@ static __inline void scanFields(int num_fields, scan_field_t *fields, enum tap_s
                        } else if (pause&&(i == num_fields-1))
                        {
                                /* this was the last to shift out this time */
-                               pause_state=(shiftState==TAP_SD)?TAP_PD:TAP_PI;
+                               pause_state=(shiftState==TAP_DRSHIFT)?TAP_DRPAUSE:TAP_IRPAUSE;
                        }
 
                        // we have (num_bits+7)/8 bytes of bits to toggle out.
@@ -476,7 +476,7 @@ static __inline void scanFields(int num_fields, scan_field_t *fields, enum tap_s
                        int r=fields[i].in_handler(inBuffer, fields[i].in_handler_priv, fields+i);
                        if (r!=ERROR_OK)
                        {
-                           /* this will cause jtag_execute_queue() to return an error */
+                               /* this will cause jtag_execute_queue() to return an error */
                                jtag_error=r;
                        }
                }
@@ -492,38 +492,39 @@ int interface_jtag_add_end_state(enum tap_state state)
 int interface_jtag_add_ir_scan(int num_fields, scan_field_t *fields, enum tap_state state)
 {
 
-       int i, j;
+       int j;
        int scan_size = 0;
-       jtag_device_t *device;
-
-       for (i=0; i < jtag_num_devices; i++)
+       jtag_tap_t *tap, *nextTap;
+       for(tap = jtag_NextEnabledTap(NULL); tap!= NULL; tap=nextTap)
        {
-               int pause=i==(jtag_num_devices-1);
+               nextTap=jtag_NextEnabledTap(tap);
+               int pause=(nextTap==NULL);
+
                int found = 0;
-               device = jtag_get_device(i);
-               scan_size = device->ir_length;
+
+               scan_size = tap->ir_length;
 
                /* search the list */
                for (j=0; j < num_fields; j++)
                {
-                       if (i == fields[j].device)
+                       if (tap == fields[j].tap)
                        {
                                found = 1;
 
                                if ((jtag_verify_capture_ir)&&(fields[j].in_handler==NULL))
                                {
-                                       jtag_set_check_value(fields+j, device->expected, device->expected_mask, NULL);
+                                       jtag_set_check_value(fields+j, tap->expected, tap->expected_mask, NULL);
                                } else if (jtag_verify_capture_ir)
                                {
-                                       fields[j].in_check_value = device->expected;
-                                       fields[j].in_check_mask = device->expected_mask;
+                                       fields[j].in_check_value = tap->expected;
+                                       fields[j].in_check_mask = tap->expected_mask;
                                }
 
-                               scanFields(1, fields+j, TAP_SI, pause);
+                               scanFields(1, fields+j, TAP_IRSHIFT, pause);
                                /* update device information */
-                               buf_cpy(fields[j].out_value, jtag_get_device(i)->cur_instr, scan_size);
+                               buf_cpy(fields[j].out_value, tap->cur_instr, scan_size);
 
-                               device->bypass = 0;
+                               tap->bypass = 0;
                                break;
                        }
                }
@@ -537,10 +538,10 @@ int interface_jtag_add_ir_scan(int num_fields, scan_field_t *fields, enum tap_st
                        memset(&tmp, 0, sizeof(tmp));
                        tmp.out_value = ones;
                        tmp.num_bits = scan_size;
-                       scanFields(1, &tmp, TAP_SI, pause);
+                       scanFields(1, &tmp, TAP_IRSHIFT, pause);
                        /* update device information */
-                       buf_cpy(tmp.out_value, jtag_get_device(i)->cur_instr, scan_size);
-                       device->bypass = 1;
+                       buf_cpy(tmp.out_value, tap->cur_instr, scan_size);
+                       tap->bypass = 1;
                }
        }
        gotoEndState();
@@ -554,7 +555,7 @@ int interface_jtag_add_ir_scan(int num_fields, scan_field_t *fields, enum tap_st
 
 int interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t *fields, enum tap_state state)
 {
-       scanFields(num_fields, fields, TAP_SI, 1);
+       scanFields(num_fields, fields, TAP_IRSHIFT, 1);
        gotoEndState();
 
        return ERROR_OK;
@@ -564,32 +565,26 @@ int interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t *fields, enum
 
 int interface_jtag_add_dr_scan(int num_fields, scan_field_t *fields, enum tap_state state)
 {
-       int i, j;
-       for (i=0; i < jtag_num_devices; i++)
+
+       int j;
+       jtag_tap_t *tap, *nextTap;
+       for(tap = jtag_NextEnabledTap(NULL); tap!= NULL; tap=nextTap)
        {
-               int found = 0;
-               int pause = (i==(jtag_num_devices-1));
+               nextTap=jtag_NextEnabledTap(tap);
+               int found=0;
+               int pause=(nextTap==NULL);
 
                for (j=0; j < num_fields; j++)
                {
-                       if (i == fields[j].device)
+                       if (tap == fields[j].tap)
                        {
                                found = 1;
 
-                               scanFields(1, fields+j, TAP_SD, pause);
+                               scanFields(1, fields+j, TAP_DRSHIFT, pause);
                        }
                }
                if (!found)
                {
-#ifdef _DEBUG_JTAG_IO_
-                       /* if a device isn't listed, the BYPASS register should be selected */
-                       if (!jtag_get_device(i)->bypass)
-                       {
-                               LOG_ERROR("BUG: no scan data for a device not in BYPASS");
-                               exit(-1);
-                       }
-#endif
-
                        scan_field_t tmp;
                        /* program the scan field to 1 bit length, and ignore it's value */
                        tmp.num_bits = 1;
@@ -601,17 +596,10 @@ int interface_jtag_add_dr_scan(int num_fields, scan_field_t *fields, enum tap_st
                        tmp.in_handler = NULL;
                        tmp.in_handler_priv = NULL;
 
-                       scanFields(1, &tmp, TAP_SD, pause);
+                       scanFields(1, &tmp, TAP_DRSHIFT, pause);
                }
                else
                {
-#ifdef _DEBUG_JTAG_IO_
-                       /* if a device is listed, the BYPASS register must not be selected */
-                       if (jtag_get_device(i)->bypass)
-                       {
-                               LOG_WARNING("scan data for a device in BYPASS");
-                       }
-#endif
                }
        }
        gotoEndState();
@@ -620,7 +608,7 @@ int interface_jtag_add_dr_scan(int num_fields, scan_field_t *fields, enum tap_st
 
 int interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t *fields, enum tap_state state)
 {
-       scanFields(num_fields, fields, TAP_SD, 1);
+       scanFields(num_fields, fields, TAP_DRSHIFT, 1);
        gotoEndState();
        return ERROR_OK;
 }
@@ -628,7 +616,7 @@ int interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t *fields, enum
 
 int interface_jtag_add_tlr()
 {
-       setCurrentState(TAP_TLR);
+       setCurrentState(TAP_RESET);
        return ERROR_OK;
 }
 
@@ -647,7 +635,7 @@ int interface_jtag_add_reset(int req_trst, int req_srst)
 int interface_jtag_add_runtest(int num_cycles, enum tap_state state)
 {
        /* num_cycles can be 0 */
-       setCurrentState(TAP_RTI);
+       setCurrentState(TAP_IDLE);
 
        /* execute num_cycles, 32 at the time. */
        int i;
@@ -659,14 +647,14 @@ int interface_jtag_add_runtest(int num_cycles, enum tap_state state)
                {
                        num=num_cycles-i;
                }
-               shiftValueInner(TAP_RTI, TAP_RTI, num, 0);
+               shiftValueInner(TAP_IDLE, TAP_IDLE, num, 0);
        }
 
 #if !TEST_MANUAL()
        /* finish in end_state */
        setCurrentState(state);
 #else
-       enum tap_state t=TAP_RTI;
+       enum tap_state t=TAP_IDLE;
        /* test manual drive code on any target */
        int tms;
        u8 tms_scan = TAP_MOVE(t, state);
@@ -675,10 +663,10 @@ int interface_jtag_add_runtest(int num_cycles, enum tap_state state)
        {
                tms = (tms_scan >> i) & 1;
                waitIdle();
-               ZY1000_POKE(0x08000028,  tms);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x28,  tms);
        }
        waitIdle();
-       ZY1000_POKE(0x08000020, state);
+       ZY1000_POKE(ZY1000_JTAG_BASE+0x20, state);
 #endif
 
 
@@ -715,12 +703,12 @@ int interface_jtag_add_pathmove(int num_states, enum tap_state *path)
                }
                else
                {
-                       LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition", tap_state_strings[cur_state], tap_state_strings[path[state_count]]);
+                       LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition", jtag_state_name(cur_state), jtag_state_name(path[state_count)]);
                        exit(-1);
                }
 
                waitIdle();
-               ZY1000_POKE(0x08000028,  tms);
+               ZY1000_POKE(ZY1000_JTAG_BASE+0x28,  tms);
 
                cur_state = path[state_count];
                state_count++;
@@ -728,17 +716,17 @@ int interface_jtag_add_pathmove(int num_states, enum tap_state *path)
        }
 
        waitIdle();
-       ZY1000_POKE(0x08000020,  cur_state);
+       ZY1000_POKE(ZY1000_JTAG_BASE+0x20,  cur_state);
        return ERROR_OK;
 }
 
 
 
-void embeddedice_write_dcc(int chain_pos, int reg_addr, u8 *buffer, int little, int count)
+void embeddedice_write_dcc(jtag_tap_t *tap, int reg_addr, u8 *buffer, int little, int count)
 {
 //     static int const reg_addr=0x5;
        enum tap_state end_state=cmd_queue_end_state;
-       if (jtag_num_devices==1)
+       if (jtag_NextEnabledTap(jtag_NextEnabledTap(NULL))==NULL)
        {
                /* better performance via code duplication */
                if (little)
@@ -746,8 +734,8 @@ void embeddedice_write_dcc(int chain_pos, int reg_addr, u8 *buffer, int little,
                        int i;
                        for (i = 0; i < count; i++)
                        {
-                               shiftValueInner(TAP_SD, TAP_SD, 32, fast_target_buffer_get_u32(buffer, 1));
-                               shiftValueInner(TAP_SD, end_state, 6, reg_addr|(1<<5));
+                               shiftValueInner(TAP_DRSHIFT, TAP_DRSHIFT, 32, fast_target_buffer_get_u32(buffer, 1));
+                               shiftValueInner(TAP_DRSHIFT, end_state, 6, reg_addr|(1<<5));
                                buffer+=4;
                        }
                } else
@@ -755,8 +743,8 @@ void embeddedice_write_dcc(int chain_pos, int reg_addr, u8 *buffer, int little,
                        int i;
                        for (i = 0; i < count; i++)
                        {
-                               shiftValueInner(TAP_SD, TAP_SD, 32, fast_target_buffer_get_u32(buffer, 0));
-                               shiftValueInner(TAP_SD, end_state, 6, reg_addr|(1<<5));
+                               shiftValueInner(TAP_DRSHIFT, TAP_DRSHIFT, 32, fast_target_buffer_get_u32(buffer, 0));
+                               shiftValueInner(TAP_DRSHIFT, end_state, 6, reg_addr|(1<<5));
                                buffer+=4;
                        }
                }
@@ -766,7 +754,7 @@ void embeddedice_write_dcc(int chain_pos, int reg_addr, u8 *buffer, int little,
                int i;
                for (i = 0; i < count; i++)
                {
-                       embeddedice_write_reg_inner(chain_pos, reg_addr, fast_target_buffer_get_u32(buffer, little));
+                       embeddedice_write_reg_inner(tap, reg_addr, fast_target_buffer_get_u32(buffer, little));
                        buffer += 4;
                }
        }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)