- fix incorrectly registered function openocd_array2mem
[openocd.git] / src / flash / flash.c
index d5159b97f254c55d7efda85f58a957bc445306ff..dbf459198b7cfa90654fbdb85d240c56b7963487 100644 (file)
 #include "fileio.h"
 #include "image.h"
 #include "log.h"
+#include "armv4_5.h"
+#include "algorithm.h"
+#include "binarybuffer.h"
+#include "armv7m.h"
 
 #include <string.h>
 #include <unistd.h>
@@ -39,7 +43,6 @@
 
 /* command handlers */
 int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_banks_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
@@ -51,7 +54,6 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
 int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_auto_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 flash_bank_t *get_flash_bank_by_addr(target_t *target, u32 addr);
 
 /* flash drivers
@@ -66,6 +68,8 @@ extern flash_driver_t str9xpec_flash;
 extern flash_driver_t stm32x_flash;
 extern flash_driver_t tms470_flash;
 extern flash_driver_t ecosflash_flash;
+extern flash_driver_t lpc288x_flash;
+extern flash_driver_t ocl_flash;
 
 flash_driver_t *flash_drivers[] =
 {
@@ -79,12 +83,13 @@ flash_driver_t *flash_drivers[] =
        &stm32x_flash,
        &tms470_flash,
        &ecosflash_flash,
+       &lpc288x_flash,
+       &ocl_flash,
        NULL,
 };
 
 flash_bank_t *flash_banks;
 static         command_t *flash_cmd;
-static int auto_erase = 0;
 
 /* wafer thin wrapper for invoking the flash driver */
 static int flash_driver_write(struct flash_bank_s *bank, u8 *buffer, u32 offset, u32 count)
@@ -126,23 +131,58 @@ int flash_driver_protect(struct flash_bank_s *bank, int set, int first, int last
        return retval;
 }
 
-
 int flash_register_commands(struct command_context_s *cmd_ctx)
 {
        flash_cmd = register_command(cmd_ctx, NULL, "flash", NULL, COMMAND_ANY, NULL);
 
        register_command(cmd_ctx, flash_cmd, "bank", handle_flash_bank_command, COMMAND_CONFIG, "flash_bank <driver> <base> <size> <chip_width> <bus_width> <target> [driver_options ...]");
-       register_command(cmd_ctx, flash_cmd, "auto_erase", handle_flash_auto_erase_command, COMMAND_ANY,
-                                                "auto erase flash sectors <on|off>");
        return ERROR_OK;
 }
 
+static int jim_flash_banks(Jim_Interp *interp, int argc, Jim_Obj *const *argv)
+{
+       flash_bank_t *p;
+       
+       if (argc != 1) {
+               Jim_WrongNumArgs(interp, 1, argv, "no arguments to flash_banks command");
+               return JIM_ERR;
+       }
+
+       if (!flash_banks)
+       {
+               return JIM_ERR;
+       }
+
+       Jim_Obj *list=Jim_NewListObj(interp, NULL, 0);
+       for (p = flash_banks; p; p = p->next)
+       {
+               Jim_Obj *elem=Jim_NewListObj(interp, NULL, 0);
+               
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "name", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, p->driver->name, -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "base", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->base));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "size", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->size));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "bus_width", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->bus_width));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "chip_width", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->chip_width));
+               
+               Jim_ListAppendElement(interp, list, elem);
+       }
+
+       Jim_SetResult(interp, list);
+
+       return JIM_OK;
+}
+
 int flash_init_drivers(struct command_context_s *cmd_ctx)
 {
        if (flash_banks)
        {
-               register_command(cmd_ctx, flash_cmd, "banks", handle_flash_banks_command, COMMAND_EXEC,
-                                                "list configured flash banks ");
+               register_jim(cmd_ctx, "openocd_flash_banks", jim_flash_banks, "return information about the flash banks");
+               
                register_command(cmd_ctx, flash_cmd, "info", handle_flash_info_command, COMMAND_EXEC,
                                                 "print info about flash bank <num>");
                register_command(cmd_ctx, flash_cmd, "probe", handle_flash_probe_command, COMMAND_EXEC,
@@ -166,7 +206,7 @@ int flash_init_drivers(struct command_context_s *cmd_ctx)
                register_command(cmd_ctx, flash_cmd, "write_bank", handle_flash_write_bank_command, COMMAND_EXEC,
                                                 "write binary data to <bank> <file> <offset>");
                register_command(cmd_ctx, flash_cmd, "write_image", handle_flash_write_image_command, COMMAND_EXEC,
-                                                "write_image <file> [offset] [type]");
+                                                "write_image [erase] <file> [offset] [type]");
                register_command(cmd_ctx, flash_cmd, "protect", handle_flash_protect_command, COMMAND_EXEC,
                                                 "set protection of sectors at <bank> <first> <last> <on|off>");
        }
@@ -295,26 +335,6 @@ int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char
        return ERROR_OK;
 }
 
-int handle_flash_banks_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
-{
-       flash_bank_t *p;
-       int i = 0;
-
-       if (!flash_banks)
-       {
-               command_print(cmd_ctx, "no flash banks configured");
-               return ERROR_OK;
-       }
-
-       for (p = flash_banks; p; p = p->next)
-       {
-               command_print(cmd_ctx, "#%i: %s at 0x%8.8x, size 0x%8.8x, buswidth %i, chipwidth %i",
-                                         i++, p->driver->name, p->base, p->size, p->bus_width, p->chip_width);
-       }
-
-       return ERROR_OK;
-}
-
 int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
@@ -336,22 +356,12 @@ int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char
                        /* attempt auto probe */
                        if ((retval = p->driver->auto_probe(p)) != ERROR_OK)
                                return retval;
-                       
-                       if ((retval = p->driver->erase_check(p)) != ERROR_OK)
-                               return retval;
 
                        command_print(cmd_ctx, "#%i: %s at 0x%8.8x, size 0x%8.8x, buswidth %i, chipwidth %i",
                                                i, p->driver->name, p->base, p->size, p->bus_width, p->chip_width);
                        for (j = 0; j < p->num_sectors; j++)
                        {
-                               char *erase_state, *protect_state;
-
-                               if (p->sectors[j].is_erased == 0)
-                                       erase_state = "not erased";
-                               else if (p->sectors[j].is_erased == 1)
-                                       erase_state = "erased";
-                               else
-                                       erase_state = "erase state unknown";
+                               char *protect_state;
 
                                if (p->sectors[j].is_protected == 0)
                                        protect_state = "not protected";
@@ -360,9 +370,9 @@ int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char
                                else
                                        protect_state = "protection state unknown";
 
-                               command_print(cmd_ctx, "\t#%i: 0x%8.8x (0x%x %ikB) %s, %s",
+                               command_print(cmd_ctx, "\t#%i: 0x%8.8x (0x%x %ikB) %s",
                                                        j, p->sectors[j].offset, p->sectors[j].size, p->sectors[j].size>>10,
-                                                       erase_state, protect_state);
+                                                       protect_state);
                        }
 
                        *buf = '\0'; /* initialize buffer, otherwise it migh contain garbage if driver function fails */
@@ -425,6 +435,7 @@ int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cm
        p = get_flash_bank_by_num(strtoul(args[0], NULL, 0));
        if (p)
        {
+               int j;
                if ((retval = p->driver->erase_check(p)) == ERROR_OK)
                {
                        command_print(cmd_ctx, "successfully checked erase state", p->driver->name, p->base);
@@ -434,6 +445,23 @@ int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cm
                        command_print(cmd_ctx, "unknown error when checking erase state of flash bank #%s at 0x%8.8x",
                                args[0], p->base);
                }
+               
+               for (j = 0; j < p->num_sectors; j++)
+               {
+                       char *erase_state;
+
+                       if (p->sectors[j].is_erased == 0)
+                               erase_state = "not erased";
+                       else if (p->sectors[j].is_erased == 1)
+                               erase_state = "erased";
+                       else
+                               erase_state = "erase state unknown";
+
+                       command_print(cmd_ctx, "\t#%i: 0x%8.8x (0x%x %ikB) %s",
+                                               j, p->sectors[j].offset, p->sectors[j].size, p->sectors[j].size>>10,
+                                               erase_state);
+               }
+               
        }
 
        return ERROR_OK;
@@ -466,7 +494,7 @@ int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *
        p = get_flash_bank_by_addr(target, address);
        if (p == NULL)
        {
-               return ERROR_COMMAND_SYNTAX_ERROR;
+               return ERROR_FAIL;
        }
 
        /* We can't know if we did a resume + halt, in which case we no longer know the erased state */
@@ -606,13 +634,29 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        if (argc < 1)
        {
                return ERROR_COMMAND_SYNTAX_ERROR;
-
        }
+       
+       /* flash auto-erase is disabled by default*/
+       int auto_erase = 0;
+       
+       if (strcmp(args[0], "erase")==0)
+       {
+               auto_erase = 1;
+               args++;
+               argc--;
+               command_print(cmd_ctx, "auto erase enabled");
+       }
+       
 
+       if (argc < 1)
+       {
+               return ERROR_COMMAND_SYNTAX_ERROR;
+       }
+       
        if (!target)
        {
                LOG_ERROR("no target selected");
-               return ERROR_OK;
+               return ERROR_FAIL;
        }
 
        duration_start_measure(&duration);
@@ -637,7 +681,6 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        }
 
        retval = flash_write(target, &image, &written, auto_erase);
-
        if (retval != ERROR_OK)
        {
                image_close(&image);
@@ -647,9 +690,9 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        duration_stop_measure(&duration, &duration_text);
        if (retval == ERROR_OK)
        {
-       command_print(cmd_ctx, "wrote %u byte from file %s in %s (%f kb/s)",
-               written, args[0], duration_text,
-               (float)written / 1024.0 / ((float)duration.duration.tv_sec + ((float)duration.duration.tv_usec / 1000000.0)));
+               command_print(cmd_ctx, "wrote %u byte from file %s in %s (%f kb/s)",
+                               written, args[0], duration_text,
+                               (float)written / 1024.0 / ((float)duration.duration.tv_sec + ((float)duration.duration.tv_usec / 1000000.0)));
        }
        free(duration_text);
 
@@ -802,12 +845,15 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
        buffer = malloc(fileio.size);
        if (fileio_read(&fileio, fileio.size, buffer, &buf_cnt) != ERROR_OK)
        {
+               free(buffer);
+               fileio_close(&fileio);
                return ERROR_OK;
        }
 
        retval = flash_driver_write(p, buffer, offset, buf_cnt);
 
        free(buffer);
+       buffer = NULL;
 
        duration_stop_measure(&duration, &duration_text);
        if (retval!=ERROR_OK)
@@ -874,7 +920,10 @@ int flash_erase_address_range(target_t *target, u32 addr, u32 length)
                return ERROR_FLASH_DST_OUT_OF_BANK; /* no corresponding bank found */
 
        if (c->size == 0 || c->num_sectors == 0)
+       {
+               LOG_ERROR("Bank is invalid");
                return ERROR_FLASH_BANK_INVALID;
+       }
 
        if (length == 0)
        {
@@ -911,12 +960,13 @@ int flash_erase_address_range(target_t *target, u32 addr, u32 length)
 /* write (optional verify) an image to flash memory of the given target */
 int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 {
-       int retval;
+       int retval=ERROR_OK;
 
        int section;
        u32 section_offset;
        flash_bank_t *c;
-
+       int *padding;
+       
        section = 0;
        section_offset = 0;
 
@@ -930,7 +980,10 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 
                flash_set_dirty();
        }
-
+       
+       /* allocate padding array */
+       padding = malloc(image->num_sections * sizeof(padding));
+       
        /* loop until we reach end of the image */
        while (section < image->num_sections)
        {
@@ -940,7 +993,8 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                int section_last;
                u32 run_address = image->sections[section].base_address + section_offset;
                u32 run_size = image->sections[section].size - section_offset;
-
+               int pad_bytes = 0;
+               
                if (image->sections[section].size ==  0)
                {
                        LOG_WARNING("empty section %d", section);
@@ -960,6 +1014,7 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                /* collect consecutive sections which fall into the same bank */
                section_first = section;
                section_last = section;
+               padding[section] = 0;
                while ((run_address + run_size < c->base + c->size)
                                && (section_last + 1 < image->num_sections))
                {
@@ -968,9 +1023,17 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                                LOG_DEBUG("section %d out of order(very slightly surprising, but supported)", section_last + 1);
                                break;
                        }
-                       if (image->sections[section_last + 1].base_address != (run_address + run_size))
+                       /* if we have multiple sections within our image, flash programming could fail due to alignment issues
+                        * attempt to rebuild a consecutive buffer for the flash loader */
+                       pad_bytes = (image->sections[section_last + 1].base_address) - (run_address + run_size);
+                       if ((run_address + run_size + pad_bytes) > (c->base + c->size))
                                break;
+                       padding[section_last] = pad_bytes;
                        run_size += image->sections[++section_last].size;
+                       run_size += pad_bytes;
+                       padding[section_last] = 0;
+                       
+                       LOG_INFO("Padding image section %d with %d bytes", section_last-1, pad_bytes );
                }
 
                /* fit the run into bank constraints */
@@ -995,10 +1058,14 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                                        size_read, buffer + buffer_size, &size_read)) != ERROR_OK || size_read == 0)
                        {
                                free(buffer);
-
+                               free(padding);
                                return retval;
                        }
-
+                       
+                       /* see if we need to pad the section */
+                       while (padding[section]--)
+                               buffer[size_read++] = 0xff;
+                       
                        buffer_size += size_read;
                        section_offset += size_read;
 
@@ -1027,39 +1094,24 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 
                if (retval != ERROR_OK)
                {
-                               return retval; /* abort operation */
-                       }
+                       free(padding);
+                       return retval; /* abort operation */
+               }
 
                if (written != NULL)
                        *written += run_size; /* add run size to total written counter */
        }
-
-       return ERROR_OK;
-}
-
-int handle_flash_auto_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
-{
-       if (argc != 1)
-       {
-               return ERROR_COMMAND_SYNTAX_ERROR;
-       }
-
-       if (strcmp(args[0], "on") == 0)
-               auto_erase = 1;
-       else if (strcmp(args[0], "off") == 0)
-               auto_erase = 0;
-       else
-               return ERROR_COMMAND_SYNTAX_ERROR;
-
-       return ERROR_OK;
+       
+       free(padding);
+       
+       return retval;
 }
 
-
-int default_flash_blank_check(struct flash_bank_s *bank)
+int default_flash_mem_blank_check(struct flash_bank_s *bank)
 {
        target_t *target = bank->target;
        u8 buffer[1024];
-       int buffer_size=sizeof(buffer);
+       int buffer_size = sizeof(buffer);
        int i;
        int nBytes;
        
@@ -1068,24 +1120,23 @@ int default_flash_blank_check(struct flash_bank_s *bank)
                return ERROR_TARGET_NOT_HALTED;
        }
        
-       
        for (i = 0; i < bank->num_sectors; i++)
        {
                int j;
                bank->sectors[i].is_erased = 1;
                
-               for (j=0; j<bank->sectors[i].size; j+=buffer_size)
+               for (j = 0; j < bank->sectors[i].size; j += buffer_size)
                {
                        int chunk;
                        int retval;
-                       chunk=buffer_size;
-                       if (chunk>(j-bank->sectors[i].size))
+                       chunk = buffer_size;
+                       if (chunk > (j - bank->sectors[i].size))
                        {
-                               chunk=(j-bank->sectors[i].size);
+                               chunk = (j - bank->sectors[i].size);
                        }
                        
-                       retval=target->type->read_memory(target, bank->base + bank->sectors[i].offset, 4, chunk/4, buffer);
-                       if (retval!=ERROR_OK)
+                       retval = target->type->read_memory(target, bank->base + bank->sectors[i].offset + j, 4, chunk/4, buffer);
+                       if (retval != ERROR_OK)
                                return retval;
                
                        for (nBytes = 0; nBytes < chunk; nBytes++)
@@ -1101,3 +1152,42 @@ int default_flash_blank_check(struct flash_bank_s *bank)
        
        return ERROR_OK;
 }
+
+int default_flash_blank_check(struct flash_bank_s *bank)
+{
+       target_t *target = bank->target;
+       int i;
+       int retval;
+       int fast_check = 0;
+       int blank;
+       
+       if (bank->target->state != TARGET_HALTED)
+       {
+               return ERROR_TARGET_NOT_HALTED;
+       }
+               
+       for (i = 0; i < bank->num_sectors; i++)
+       {
+               u32 address = bank->base + bank->sectors[i].offset;
+               u32 size = bank->sectors[i].size;
+               
+               if ((retval = target_blank_check_memory(target, address, size, &blank)) != ERROR_OK)
+               {
+                       fast_check = 0;
+                       break;
+               }
+               if (blank == 0xFF)
+                       bank->sectors[i].is_erased = 1;
+               else
+                       bank->sectors[i].is_erased = 0;
+               fast_check = 1;
+       }
+               
+       if (!fast_check)
+       {
+               LOG_USER("Running slow fallback erase check - add working memory");
+               return default_flash_mem_blank_check(bank);
+       }
+       
+       return ERROR_OK;
+}

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)