- moved flash erase_check target code to target.c
[openocd.git] / src / flash / flash.c
index 9607725834692a6702e8ef7eebd6f0b3cba8cc2a..91a94db739b3b07875c783fedae34f57dd14689a 100644 (file)
@@ -55,7 +55,6 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
 int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_auto_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 flash_bank_t *get_flash_bank_by_addr(target_t *target, u32 addr);
 
 /* flash drivers
@@ -70,6 +69,8 @@ extern flash_driver_t str9xpec_flash;
 extern flash_driver_t stm32x_flash;
 extern flash_driver_t tms470_flash;
 extern flash_driver_t ecosflash_flash;
+extern flash_driver_t lpc288x_flash;
+extern flash_driver_t ocl_flash;
 
 flash_driver_t *flash_drivers[] =
 {
@@ -83,12 +84,13 @@ flash_driver_t *flash_drivers[] =
        &stm32x_flash,
        &tms470_flash,
        &ecosflash_flash,
+       &lpc288x_flash,
+       &ocl_flash,
        NULL,
 };
 
 flash_bank_t *flash_banks;
 static         command_t *flash_cmd;
-static int auto_erase = 0;
 
 /* wafer thin wrapper for invoking the flash driver */
 static int flash_driver_write(struct flash_bank_s *bank, u8 *buffer, u32 offset, u32 count)
@@ -130,14 +132,11 @@ int flash_driver_protect(struct flash_bank_s *bank, int set, int first, int last
        return retval;
 }
 
-
 int flash_register_commands(struct command_context_s *cmd_ctx)
 {
        flash_cmd = register_command(cmd_ctx, NULL, "flash", NULL, COMMAND_ANY, NULL);
 
        register_command(cmd_ctx, flash_cmd, "bank", handle_flash_bank_command, COMMAND_CONFIG, "flash_bank <driver> <base> <size> <chip_width> <bus_width> <target> [driver_options ...]");
-       register_command(cmd_ctx, flash_cmd, "auto_erase", handle_flash_auto_erase_command, COMMAND_ANY,
-                                                "auto erase flash sectors <on|off>");
        return ERROR_OK;
 }
 
@@ -170,7 +169,7 @@ int flash_init_drivers(struct command_context_s *cmd_ctx)
                register_command(cmd_ctx, flash_cmd, "write_bank", handle_flash_write_bank_command, COMMAND_EXEC,
                                                 "write binary data to <bank> <file> <offset>");
                register_command(cmd_ctx, flash_cmd, "write_image", handle_flash_write_image_command, COMMAND_EXEC,
-                                                "write_image <file> [offset] [type]");
+                                                "write_image [erase] <file> [offset] [type]");
                register_command(cmd_ctx, flash_cmd, "protect", handle_flash_protect_command, COMMAND_EXEC,
                                                 "set protection of sectors at <bank> <first> <last> <on|off>");
        }
@@ -478,7 +477,7 @@ int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *
        p = get_flash_bank_by_addr(target, address);
        if (p == NULL)
        {
-               return ERROR_COMMAND_SYNTAX_ERROR;
+               return ERROR_FAIL;
        }
 
        /* We can't know if we did a resume + halt, in which case we no longer know the erased state */
@@ -618,13 +617,29 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        if (argc < 1)
        {
                return ERROR_COMMAND_SYNTAX_ERROR;
-
        }
+       
+       /* flash auto-erase is disabled by default*/
+       int auto_erase = 0;
+       
+       if (strcmp(args[0], "erase")==0)
+       {
+               auto_erase = 1;
+               args++;
+               argc--;
+               command_print(cmd_ctx, "auto erase enabled");
+       }
+       
 
+       if (argc < 1)
+       {
+               return ERROR_COMMAND_SYNTAX_ERROR;
+       }
+       
        if (!target)
        {
                LOG_ERROR("no target selected");
-               return ERROR_OK;
+               return ERROR_FAIL;
        }
 
        duration_start_measure(&duration);
@@ -649,7 +664,6 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        }
 
        retval = flash_write(target, &image, &written, auto_erase);
-
        if (retval != ERROR_OK)
        {
                image_close(&image);
@@ -659,9 +673,9 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        duration_stop_measure(&duration, &duration_text);
        if (retval == ERROR_OK)
        {
-       command_print(cmd_ctx, "wrote %u byte from file %s in %s (%f kb/s)",
-               written, args[0], duration_text,
-               (float)written / 1024.0 / ((float)duration.duration.tv_sec + ((float)duration.duration.tv_usec / 1000000.0)));
+               command_print(cmd_ctx, "wrote %u byte from file %s in %s (%f kb/s)",
+                               written, args[0], duration_text,
+                               (float)written / 1024.0 / ((float)duration.duration.tv_sec + ((float)duration.duration.tv_usec / 1000000.0)));
        }
        free(duration_text);
 
@@ -814,12 +828,15 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
        buffer = malloc(fileio.size);
        if (fileio_read(&fileio, fileio.size, buffer, &buf_cnt) != ERROR_OK)
        {
+               free(buffer);
+               fileio_close(&fileio);
                return ERROR_OK;
        }
 
        retval = flash_driver_write(p, buffer, offset, buf_cnt);
 
        free(buffer);
+       buffer = NULL;
 
        duration_stop_measure(&duration, &duration_text);
        if (retval!=ERROR_OK)
@@ -886,7 +903,10 @@ int flash_erase_address_range(target_t *target, u32 addr, u32 length)
                return ERROR_FLASH_DST_OUT_OF_BANK; /* no corresponding bank found */
 
        if (c->size == 0 || c->num_sectors == 0)
+       {
+               LOG_ERROR("Bank is invalid");
                return ERROR_FLASH_BANK_INVALID;
+       }
 
        if (length == 0)
        {
@@ -923,12 +943,13 @@ int flash_erase_address_range(target_t *target, u32 addr, u32 length)
 /* write (optional verify) an image to flash memory of the given target */
 int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 {
-       int retval;
+       int retval=ERROR_OK;
 
        int section;
        u32 section_offset;
        flash_bank_t *c;
-
+       int *padding;
+       
        section = 0;
        section_offset = 0;
 
@@ -942,7 +963,10 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 
                flash_set_dirty();
        }
-
+       
+       /* allocate padding array */
+       padding = malloc(image->num_sections * sizeof(padding));
+       
        /* loop until we reach end of the image */
        while (section < image->num_sections)
        {
@@ -952,7 +976,8 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                int section_last;
                u32 run_address = image->sections[section].base_address + section_offset;
                u32 run_size = image->sections[section].size - section_offset;
-
+               int pad_bytes = 0;
+               
                if (image->sections[section].size ==  0)
                {
                        LOG_WARNING("empty section %d", section);
@@ -972,6 +997,7 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                /* collect consecutive sections which fall into the same bank */
                section_first = section;
                section_last = section;
+               padding[section] = 0;
                while ((run_address + run_size < c->base + c->size)
                                && (section_last + 1 < image->num_sections))
                {
@@ -980,9 +1006,17 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                                LOG_DEBUG("section %d out of order(very slightly surprising, but supported)", section_last + 1);
                                break;
                        }
-                       if (image->sections[section_last + 1].base_address != (run_address + run_size))
+                       /* if we have multiple sections within our image, flash programming could fail due to alignment issues
+                        * attempt to rebuild a consecutive buffer for the flash loader */
+                       pad_bytes = (image->sections[section_last + 1].base_address) - (run_address + run_size);
+                       if ((run_address + run_size + pad_bytes) > (c->base + c->size))
                                break;
+                       padding[section_last] = pad_bytes;
                        run_size += image->sections[++section_last].size;
+                       run_size += pad_bytes;
+                       padding[section_last] = 0;
+                       
+                       LOG_INFO("Padding image section %d with %d bytes", section_last-1, pad_bytes );
                }
 
                /* fit the run into bank constraints */
@@ -1007,10 +1041,14 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                                        size_read, buffer + buffer_size, &size_read)) != ERROR_OK || size_read == 0)
                        {
                                free(buffer);
-
+                               free(padding);
                                return retval;
                        }
-
+                       
+                       /* see if we need to pad the section */
+                       while (padding[section]--)
+                               buffer[size_read++] = 0xff;
+                       
                        buffer_size += size_read;
                        section_offset += size_read;
 
@@ -1039,39 +1077,24 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 
                if (retval != ERROR_OK)
                {
-                               return retval; /* abort operation */
-                       }
+                       free(padding);
+                       return retval; /* abort operation */
+               }
 
                if (written != NULL)
                        *written += run_size; /* add run size to total written counter */
        }
-
-       return ERROR_OK;
-}
-
-int handle_flash_auto_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
-{
-       if (argc != 1)
-       {
-               return ERROR_COMMAND_SYNTAX_ERROR;
-       }
-
-       if (strcmp(args[0], "on") == 0)
-               auto_erase = 1;
-       else if (strcmp(args[0], "off") == 0)
-               auto_erase = 0;
-       else
-               return ERROR_COMMAND_SYNTAX_ERROR;
-
-       return ERROR_OK;
+       
+       free(padding);
+       
+       return retval;
 }
 
-
-int default_flash_blank_check(struct flash_bank_s *bank)
+int default_flash_mem_blank_check(struct flash_bank_s *bank)
 {
        target_t *target = bank->target;
        u8 buffer[1024];
-       int buffer_size=sizeof(buffer);
+       int buffer_size = sizeof(buffer);
        int i;
        int nBytes;
        
@@ -1080,227 +1103,73 @@ int default_flash_blank_check(struct flash_bank_s *bank)
                return ERROR_TARGET_NOT_HALTED;
        }
        
-       int retval;
-       int fast_check=0;
-       working_area_t *erase_check_algorithm;
-#if 0
-       /* FIX! doesn't work yet... */
-       /*
-       char test(char *a, int len, char t)
+       for (i = 0; i < bank->num_sectors; i++)
        {
-         int i=0;
-       
-         for (i=0; i<len; i++)
+               int j;
+               bank->sectors[i].is_erased = 1;
+               
+               for (j = 0; j < bank->sectors[i].size; j += buffer_size)
                {
-                 t&=a[i];
-       
+                       int chunk;
+                       int retval;
+                       chunk = buffer_size;
+                       if (chunk > (j - bank->sectors[i].size))
+                       {
+                               chunk = (j - bank->sectors[i].size);
+                       }
+                       
+                       retval = target->type->read_memory(target, bank->base + bank->sectors[i].offset + j, 4, chunk/4, buffer);
+                       if (retval != ERROR_OK)
+                               return retval;
+               
+                       for (nBytes = 0; nBytes < chunk; nBytes++)
+                       {
+                               if (buffer[nBytes] != 0xFF)
+                               {
+                                       bank->sectors[i].is_erased = 0;
+                                       break;
+                               }
+                       }
                }
        }
        
-       $ arm-elf-gcc -c -mthumb -O3 test.c
-       
-       $ arm-elf-objdump --disassemble test.o
-       
-       test.o:     file format elf32-littlearm
-       
-       Disassembly of section .text:
-       
-       00000000 <test>:
-          0:   b510            push    {r4, lr}
-          2:   0612            lsl     r2, r2, #24
-          4:   1c04            mov     r4, r0          (add r4, r0, #0)
-          6:   0e10            lsr     r0, r2, #24
-          8:   2200            mov     r2, #0
-          a:   2900            cmp     r1, #0
-          c:   dd04            ble     18 <test+0x18>
-          e:   5ca3            ldrb    r3, [r4, r2]
-         10:   3201            add     r2, #1
-         12:   4018            and     r0, r3
-         14:   428a            cmp     r2, r1
-         16:   dbfa            blt     e <test+0xe>
-         18:   bd10            pop     {r4, pc}
-         1a:   46c0            nop                     (mov r8, r8)
-       
-
-       */
-       u16 erase_check_code[] =
-       {
-                0x0612,//            lsl     r2, r2, #24
-                0x1c04,//            mov     r4, r0          (add r4, r0, #0)
-                0x0e10,//            lsr     r0, r2, #24
-                0x2200,//            mov     r2, #0
-                0x2900,//            cmp     r1, #0
-                0xdd04,//            ble     18 <test+0x18>
-                0x5ca3,//            ldrb    r3, [r4, r2]
-                0x3201,//            add     r2, #1
-                0x4018,//            and     r0, r3
-                0x428a,//            cmp     r2, r1
-                0xdbfa,//            blt     e <test+0xe>
-                0x46c0,//            nop                     (mov r8, r8)
-                
-       };
-
+       return ERROR_OK;
+}
 
+int default_flash_blank_check(struct flash_bank_s *bank)
+{
+       target_t *target = bank->target;
+       int i;
+       int retval;
+       int fast_check = 0;
+       int blank;
        
-       /* make sure we have a working area */
-       if (target_alloc_working_area(target, ((sizeof(erase_check_code)+3)/4)*4, &erase_check_algorithm) != ERROR_OK)
+       if (bank->target->state != TARGET_HALTED)
        {
-               erase_check_algorithm = NULL;
+               return ERROR_TARGET_NOT_HALTED;
        }
-       
-       if (erase_check_algorithm)
-       {
-               u8 erase_check_code_buf[((sizeof(erase_check_code)+3)/4)*4];
-               LOG_DEBUG("Running fast flash erase check");
                
-               for (i = 0; i < sizeof(erase_check_code)/sizeof(*erase_check_code); i++)
-                       target_buffer_set_u16(target, erase_check_code_buf + (i*2), erase_check_code[i]);
-
-               /* write algorithm code to working area */
-               if ((retval=target->type->write_memory(target, erase_check_algorithm->address, 2, sizeof(erase_check_code)/sizeof(*erase_check_code), erase_check_code_buf))==ERROR_OK)
-               {
-                       for (i = 0; i < bank->num_sectors; i++)
-                       {
-                               u32 address = bank->base + bank->sectors[i].offset;
-                               u32 size = bank->sectors[i].size;
-       
-                               reg_param_t reg_params[3];
-                               armv7m_algorithm_t arm_info;
-       
-                               arm_info.common_magic = ARMV7M_COMMON_MAGIC;
-                               arm_info.core_mode = ARMV7M_MODE_ANY;
-                               arm_info.core_state = ARMV7M_STATE_THUMB;
-       
-                               init_reg_param(&reg_params[0], "r0", 32, PARAM_OUT);
-                               buf_set_u32(reg_params[0].value, 0, 32, address);
-       
-                               init_reg_param(&reg_params[1], "r1", 32, PARAM_OUT);
-                               buf_set_u32(reg_params[1].value, 0, 32, size);
-       
-                               init_reg_param(&reg_params[2], "r2", 32, PARAM_IN_OUT);
-                               buf_set_u32(reg_params[2].value, 0, 32, 0xff);
-       
-                               if ((retval = target->type->run_algorithm(target, 0, NULL, 3, reg_params, erase_check_algorithm->address, 
-                                               erase_check_algorithm->address + sizeof(erase_check_code) - 2, 10000, &arm_info)) != ERROR_OK)
-                                       break;
-       
-                               if (buf_get_u32(reg_params[2].value, 0, 32) == 0xff)
-                                       bank->sectors[i].is_erased = 1;
-                               else
-                                       bank->sectors[i].is_erased = 0;
-       
-                               destroy_reg_param(&reg_params[0]);
-                               destroy_reg_param(&reg_params[1]);
-                               destroy_reg_param(&reg_params[2]);
-                       }
-                       if (i == bank->num_sectors)
-                       {
-                               fast_check = 1;
-                       }
-               } 
-               target_free_working_area(target, erase_check_algorithm);
-       }
-#endif
-       if (!fast_check)
+       for (i = 0; i < bank->num_sectors; i++)
        {
-               /* try ARM7 instead */
-       
-               u32 erase_check_code[] =
-               {
-                       0xe4d03001,     /* ldrb r3, [r0], #1    */
-                       0xe0022003, /* and r2, r2, r3           */
-                       0xe2511001, /* subs r1, r1, #1          */
-                       0x1afffffb,     /* b -4                                 */
-                       0xeafffffe      /* b 0                                  */
-               };
-
-               /* make sure we have a working area */
-               if (target_alloc_working_area(target, 20, &erase_check_algorithm) == ERROR_OK)
+               u32 address = bank->base + bank->sectors[i].offset;
+               u32 size = bank->sectors[i].size;
+               
+               if ((retval = target_blank_check_memory(target, address, size, &blank)) != ERROR_OK)
                {
-                       u8 erase_check_code_buf[5 * 4];
-
-                       for (i = 0; i < 5; i++)
-                               target_buffer_set_u32(target, erase_check_code_buf + (i*4), erase_check_code[i]);
-
-                       /* write algorithm code to working area */
-                       if ((retval=target->type->write_memory(target, erase_check_algorithm->address, 4, 5, erase_check_code_buf))==ERROR_OK)
-                       {
-                               for (i = 0; i < bank->num_sectors; i++)
-                               {
-                                       u32 address = bank->base + bank->sectors[i].offset;
-                                       u32 size = bank->sectors[i].size;
-                       
-                                       reg_param_t reg_params[3];
-                                       armv4_5_algorithm_t armv4_5_info;
-                       
-                                       armv4_5_info.common_magic = ARMV4_5_COMMON_MAGIC;
-                                       armv4_5_info.core_mode = ARMV4_5_MODE_SVC;
-                                       armv4_5_info.core_state = ARMV4_5_STATE_ARM;
-                       
-                                       init_reg_param(&reg_params[0], "r0", 32, PARAM_OUT);
-                                       buf_set_u32(reg_params[0].value, 0, 32, address);
-                       
-                                       init_reg_param(&reg_params[1], "r1", 32, PARAM_OUT);
-                                       buf_set_u32(reg_params[1].value, 0, 32, size);
-                       
-                                       init_reg_param(&reg_params[2], "r2", 32, PARAM_IN_OUT);
-                                       buf_set_u32(reg_params[2].value, 0, 32, 0xff);
-                       
-                                       if ((retval = target->type->run_algorithm(target, 0, NULL, 3, reg_params, 
-                                                       erase_check_algorithm->address, erase_check_algorithm->address + 0x10, 10000, &armv4_5_info)) != ERROR_OK)
-                                               break;
-                       
-                                       if (buf_get_u32(reg_params[2].value, 0, 32) == 0xff)
-                                               bank->sectors[i].is_erased = 1;
-                                       else
-                                               bank->sectors[i].is_erased = 0;
-                       
-                                       destroy_reg_param(&reg_params[0]);
-                                       destroy_reg_param(&reg_params[1]);
-                                       destroy_reg_param(&reg_params[2]);
-                               }
-                               if (i == bank->num_sectors)
-                               {
-                                       fast_check = 1;
-                               }
-                       } 
-                       target_free_working_area(target, erase_check_algorithm);
+                       fast_check = 0;
+                       break;
                }
+               if (blank == 0xFF)
+                       bank->sectors[i].is_erased = 1;
+               else
+                       bank->sectors[i].is_erased = 0;
+               fast_check = 1;
        }
-
-       
+               
        if (!fast_check)
        {
                LOG_USER("Running slow fallback erase check - add working memory");
-               for (i = 0; i < bank->num_sectors; i++)
-               {
-                       int j;
-                       bank->sectors[i].is_erased = 1;
-                       
-                       for (j=0; j<bank->sectors[i].size; j+=buffer_size)
-                       {
-                               int chunk;
-                               int retval;
-                               chunk=buffer_size;
-                               if (chunk>(j-bank->sectors[i].size))
-                               {
-                                       chunk=(j-bank->sectors[i].size);
-                               }
-                               
-                               retval=target->type->read_memory(target, bank->base + bank->sectors[i].offset + j, 4, chunk/4, buffer);
-                               if (retval!=ERROR_OK)
-                                       return retval;
-                       
-                               for (nBytes = 0; nBytes < chunk; nBytes++)
-                               {
-                                       if (buffer[nBytes] != 0xFF)
-                                       {
-                                               bank->sectors[i].is_erased = 0;
-                                               break;
-                                       }
-                               }
-                       }
-               }
+               return default_flash_mem_blank_check(bank);
        }
        
        return ERROR_OK;

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)