Zach Welch <zw@superlucidity.net> add TAP_SCAN_BYTES macro (1 of 2)
[openocd.git] / src / jtag / jtag.h
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007,2008 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifndef JTAG_H
24 #define JTAG_H
25
26 #include "types.h"
27 #include "binarybuffer.h"
28 #include "log.h"
29
30 #include "command.h"
31
32
33 #ifdef _DEBUG_JTAG_IO_
34 #define DEBUG_JTAG_IO(expr ...) LOG_DEBUG(expr)
35 #else
36 #define DEBUG_JTAG_IO(expr ...)
37 #endif
38
39 #ifndef DEBUG_JTAG_IOZ
40 #define DEBUG_JTAG_IOZ 64
41 #endif
42
43
44 /*
45 * Tap states from ARM7TDMI-S Technical reference manual.
46 * Also, validated against several other ARM core technical manuals.
47 *
48 * N.B. tap_get_tms_path() was changed to reflect this corrected
49 * numbering and ordering of the TAP states.
50 *
51 * DANGER!!!! some interfaces care about the actual numbers used
52 * as they are handed off directly to hardware implementations.
53 */
54
55 typedef enum tap_state
56 {
57 #if BUILD_ECOSBOARD
58 /* These are the old numbers. Leave as-is for now... */
59 TAP_RESET = 0, TAP_IDLE = 8,
60 TAP_DRSELECT = 1, TAP_DRCAPTURE = 2, TAP_DRSHIFT = 3, TAP_DREXIT1 = 4,
61 TAP_DRPAUSE = 5, TAP_DREXIT2 = 6, TAP_DRUPDATE = 7,
62 TAP_IRSELECT = 9, TAP_IRCAPTURE = 10, TAP_IRSHIFT = 11, TAP_IREXIT1 = 12,
63 TAP_IRPAUSE = 13, TAP_IREXIT2 = 14, TAP_IRUPDATE = 15,
64
65 TAP_NUM_STATES = 16, TAP_INVALID = -1,
66 #else
67 /* Proper ARM recommended numbers */
68 TAP_DREXIT2 = 0x0,
69 TAP_DREXIT1 = 0x1,
70 TAP_DRSHIFT = 0x2,
71 TAP_DRPAUSE = 0x3,
72 TAP_IRSELECT = 0x4,
73 TAP_DRUPDATE = 0x5,
74 TAP_DRCAPTURE = 0x6,
75 TAP_DRSELECT = 0x7,
76 TAP_IREXIT2 = 0x8,
77 TAP_IREXIT1 = 0x9,
78 TAP_IRSHIFT = 0xa,
79 TAP_IRPAUSE = 0xb,
80 TAP_IDLE = 0xc,
81 TAP_IRUPDATE = 0xd,
82 TAP_IRCAPTURE = 0xe,
83 TAP_RESET = 0x0f,
84
85 TAP_NUM_STATES = 0x10,
86
87 TAP_INVALID = -1,
88 #endif
89 } tap_state_t;
90
91 typedef struct tap_transition_s
92 {
93 tap_state_t high;
94 tap_state_t low;
95 } tap_transition_t;
96
97 //extern tap_transition_t tap_transitions[16]; /* describe the TAP state diagram */
98
99
100 /*-----<Cable Helper API>-------------------------------------------*/
101
102 /* The "Cable Helper API" is what the cable drivers can use to help implement
103 * their "Cable API". So a Cable Helper API is a set of helper functions used by
104 * cable drivers, and this is different from a Cable API. A "Cable API" is what
105 * higher level code used to talk to a cable.
106 */
107
108
109 /** implementation of wrapper function tap_set_state() */
110 void tap_set_state_impl(tap_state_t new_state);
111
112 /**
113 * Function tap_set_state
114 * sets the state of a "state follower" which tracks the state of the TAPs connected to the
115 * cable. The state follower is hopefully always in the same state as the actual
116 * TAPs in the jtag chain, and will be so if there are no bugs in the tracking logic within that
117 * cable driver. All the cable drivers call this function to indicate the state they think
118 * the TAPs attached to their cables are in. Because this function can also log transitions,
119 * it will be helpful to call this function with every transition that the TAPs being manipulated
120 * are expected to traverse, not just end points of a multi-step state path.
121 * @param new_state is the state we think the TAPs are currently in or are about to enter.
122 */
123 #if defined(_DEBUG_JTAG_IO_)
124 #define tap_set_state(new_state) \
125 do { \
126 LOG_DEBUG( "tap_set_state(%s)", tap_state_name(new_state) ); \
127 tap_set_state_impl(new_state); \
128 } while (0)
129 #else
130 static inline void tap_set_state(tap_state_t new_state)
131 {
132 tap_set_state_impl(new_state);
133 }
134
135 #endif
136
137 /**
138 * Function tap_get_state
139 * gets the state of the "state follower" which tracks the state of the TAPs connected to
140 * the cable.
141 * @see tap_set_state
142 * @return tap_state_t - The state the TAPs are in now.
143 */
144 tap_state_t tap_get_state(void);
145
146 /**
147 * Function tap_set_end_state
148 * sets the state of an "end state follower" which tracks the state that any cable driver
149 * thinks will be the end (resultant) state of the current TAP SIR or SDR operation. At completion
150 * of that TAP operation this value is copied into the state follower via tap_set_state().
151 * @param new_end_state is that state the TAPs should enter at completion of a pending TAP operation.
152 */
153 void tap_set_end_state(tap_state_t new_end_state);
154
155 /**
156 * Function tap_get_end_state
157 * @see tap_set_end_state
158 * @return tap_state_t - The state the TAPs should be in at completion of the current TAP operation.
159 */
160 tap_state_t tap_get_end_state(void);
161
162 /**
163 * Function tap_get_tms_path
164 * returns a 7 bit long "bit sequence" indicating what has to be done with TMS
165 * during a sequence of seven TAP clock cycles in order to get from
166 * state \a "from" to state \a "to".
167 * @param from is the starting state
168 * @param to is the resultant or final state
169 * @return int - a 7 bit sequence, with the first bit in the sequence at bit 0.
170 */
171 int tap_get_tms_path(tap_state_t from, tap_state_t to);
172
173 /**
174 * Function tap_move_ndx
175 * when given a stable state, returns an index from 0-5. The index corresponds to a
176 * sequence of stable states which are given in this order: <p>
177 * { TAP_RESET, TAP_IDLE, TAP_DRSHIFT, TAP_DRPAUSE, TAP_IRSHIFT, TAP_IRPAUSE }
178 * <p>
179 * This sequence corresponds to look up tables which are used in some of the
180 * cable drivers.
181 * @param astate is the stable state to find in the sequence. If a non stable
182 * state is passed, this may cause the program to output an error message
183 * and terminate.
184 * @return int - the array (or sequence) index as described above
185 */
186 int tap_move_ndx(tap_state_t astate);
187
188 /**
189 * Function tap_is_state_stable
190 * returns true if the \a astate is stable.
191 */
192 bool tap_is_state_stable(tap_state_t astate);
193
194 /**
195 * Function tap_state_transition
196 * takes a current TAP state and returns the next state according to the tms value.
197 * @param current_state is the state of a TAP currently.
198 * @param tms is either zero or non-zero, just like a real TMS line in a jtag interface.
199 * @return tap_state_t - the next state a TAP would enter.
200 */
201 tap_state_t tap_state_transition(tap_state_t current_state, bool tms);
202
203 /**
204 * Function tap_state_name
205 * Returns a string suitable for display representing the JTAG tap_state
206 */
207 const char* tap_state_name(tap_state_t state);
208
209 /*-----</Cable Helper API>------------------------------------------*/
210
211
212 extern tap_state_t cmd_queue_end_state; /* finish DR scans in dr_end_state */
213 extern tap_state_t cmd_queue_cur_state; /* current TAP state */
214
215 typedef void* error_handler_t; /* Later on we can delete error_handler_t, but keep it for now to make patches more readable */
216
217 struct scan_field_s;
218 typedef int (*in_handler_t)(u8* in_value, void* priv, struct scan_field_s* field);
219
220 /// @brief calculates number of bytes required to hold @a n TAP scan bits
221 #define TAP_SCAN_BYTES(n) (((n) / 8) + !!((n) % 8))
222
223 typedef struct scan_field_s
224 {
225 jtag_tap_t* tap; /* tap pointer this instruction refers to */
226 int num_bits; /* number of bits this field specifies (up to 32) */
227 u8* out_value; /* value to be scanned into the device */
228 u8* out_mask; /* only masked bits care */
229 u8* in_value; /* pointer to a 32-bit memory location to take data scanned out */
230 /* in_check_value/mask, in_handler_error_handler, in_handler_priv can be used by the in handler, otherwise they contain garbage */
231 u8* in_check_value; /* used to validate scan results */
232 u8* in_check_mask; /* check specified bits against check_value */
233 in_handler_t in_handler; /* process received buffer using this handler */
234 void* in_handler_priv; /* additional information for the in_handler */
235 } scan_field_t;
236
237 enum scan_type {
238 /* IN: from device to host, OUT: from host to device */
239 SCAN_IN = 1, SCAN_OUT = 2, SCAN_IO = 3
240 };
241
242 typedef struct scan_command_s
243 {
244 int ir_scan; /* instruction/not data scan */
245 int num_fields; /* number of fields in *fields array */
246 scan_field_t* fields; /* pointer to an array of data scan fields */
247 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
248 } scan_command_t;
249
250 typedef struct statemove_command_s
251 {
252 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
253 } statemove_command_t;
254
255 typedef struct pathmove_command_s
256 {
257 int num_states; /* number of states in *path */
258 tap_state_t* path; /* states that have to be passed */
259 } pathmove_command_t;
260
261 typedef struct runtest_command_s
262 {
263 int num_cycles; /* number of cycles that should be spent in Run-Test/Idle */
264 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
265 } runtest_command_t;
266
267
268 typedef struct stableclocks_command_s
269 {
270 int num_cycles; /* number of clock cycles that should be sent */
271 } stableclocks_command_t;
272
273
274 typedef struct reset_command_s
275 {
276 int trst; /* trst/srst 0: deassert, 1: assert, -1: don't change */
277 int srst;
278 } reset_command_t;
279
280 typedef struct end_state_command_s
281 {
282 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
283 } end_state_command_t;
284
285 typedef struct sleep_command_s
286 {
287 u32 us; /* number of microseconds to sleep */
288 } sleep_command_t;
289
290 typedef union jtag_command_container_u
291 {
292 scan_command_t* scan;
293 statemove_command_t* statemove;
294 pathmove_command_t* pathmove;
295 runtest_command_t* runtest;
296 stableclocks_command_t* stableclocks;
297 reset_command_t* reset;
298 end_state_command_t* end_state;
299 sleep_command_t* sleep;
300 } jtag_command_container_t;
301
302 enum jtag_command_type {
303 JTAG_SCAN = 1,
304 JTAG_STATEMOVE = 2,
305 JTAG_RUNTEST = 3,
306 JTAG_RESET = 4,
307 JTAG_END_STATE = 5,
308 JTAG_PATHMOVE = 6,
309 JTAG_SLEEP = 7,
310 JTAG_STABLECLOCKS = 8
311 };
312
313 typedef struct jtag_command_s
314 {
315 jtag_command_container_t cmd;
316 enum jtag_command_type type;
317 struct jtag_command_s* next;
318 } jtag_command_t;
319
320 extern jtag_command_t* jtag_command_queue;
321
322 /* forward declaration */
323 typedef struct jtag_tap_event_action_s jtag_tap_event_action_t;
324
325 /* this is really: typedef jtag_tap_t */
326 /* But - the typedef is done in "types.h" */
327 /* due to "forward decloration reasons" */
328 struct jtag_tap_s
329 {
330 const char* chip;
331 const char* tapname;
332 const char* dotted_name;
333 int abs_chain_position;
334 int enabled;
335 int ir_length; /* size of instruction register */
336 u32 ir_capture_value;
337 u8* expected; /* Capture-IR expected value */
338 u32 ir_capture_mask;
339 u8* expected_mask; /* Capture-IR expected mask */
340 u32 idcode; /* device identification code */
341 u32* expected_ids; /* Array of expected identification codes */
342 u8 expected_ids_cnt; /* Number of expected identification codes */
343 u8* cur_instr; /* current instruction */
344 int bypass; /* bypass register selected */
345
346 jtag_tap_event_action_t* event_action;
347
348 jtag_tap_t* next_tap;
349 };
350 extern jtag_tap_t* jtag_AllTaps(void);
351 extern jtag_tap_t* jtag_TapByPosition(int n);
352 extern jtag_tap_t* jtag_TapByPosition(int n);
353 extern jtag_tap_t* jtag_TapByString(const char* dotted_name);
354 extern jtag_tap_t* jtag_TapByJimObj(Jim_Interp* interp, Jim_Obj* obj);
355 extern jtag_tap_t* jtag_TapByAbsPosition(int abs_position);
356 extern int jtag_NumEnabledTaps(void);
357 extern int jtag_NumTotalTaps(void);
358
359 static __inline__ jtag_tap_t* jtag_NextEnabledTap(jtag_tap_t* p)
360 {
361 if (p == NULL)
362 {
363 /* start at the head of list */
364 p = jtag_AllTaps();
365 }
366 else
367 {
368 /* start *after* this one */
369 p = p->next_tap;
370 }
371 while (p)
372 {
373 if (p->enabled)
374 {
375 break;
376 }
377 else
378 {
379 p = p->next_tap;
380 }
381 }
382
383 return p;
384 }
385
386
387 enum reset_line_mode {
388 LINE_OPEN_DRAIN = 0x0,
389 LINE_PUSH_PULL = 0x1,
390 };
391
392 typedef struct jtag_interface_s
393 {
394 char* name;
395
396 /* queued command execution
397 */
398 int (*execute_queue)(void);
399
400 /* interface initalization
401 */
402 int (*speed)(int speed);
403 int (*register_commands)(struct command_context_s* cmd_ctx);
404 int (*init)(void);
405 int (*quit)(void);
406
407 /* returns JTAG maxium speed for KHz. 0=RTCK. The function returns
408 * a failure if it can't support the KHz/RTCK.
409 *
410 * WARNING!!!! if RTCK is *slow* then think carefully about
411 * whether you actually want to support this in the driver.
412 * Many target scripts are written to handle the absence of RTCK
413 * and use a fallback kHz TCK.
414 */
415 int (*khz)(int khz, int* jtag_speed);
416
417 /* returns the KHz for the provided JTAG speed. 0=RTCK. The function returns
418 * a failure if it can't support the KHz/RTCK. */
419 int (*speed_div)(int speed, int* khz);
420
421 /* Read and clear the power dropout flag. Note that a power dropout
422 * can be transitionary, easily much less than a ms.
423 *
424 * So to find out if the power is *currently* on, you must invoke
425 * this method twice. Once to clear the power dropout flag and a
426 * second time to read the current state.
427 *
428 * Currently the default implementation is never to detect power dropout.
429 */
430 int (*power_dropout)(int* power_dropout);
431
432 /* Read and clear the srst asserted detection flag.
433 *
434 * NB!!!! like power_dropout this does *not* read the current
435 * state. srst assertion is transitionary and *can* be much
436 * less than 1ms.
437 */
438 int (*srst_asserted)(int* srst_asserted);
439 } jtag_interface_t;
440
441 enum jtag_event {
442 JTAG_TRST_ASSERTED
443 };
444
445 extern char* jtag_event_strings[];
446
447 enum jtag_tap_event {
448 JTAG_TAP_EVENT_ENABLE,
449 JTAG_TAP_EVENT_DISABLE
450 };
451
452 extern const Jim_Nvp nvp_jtag_tap_event[];
453
454 struct jtag_tap_event_action_s
455 {
456 enum jtag_tap_event event;
457 Jim_Obj* body;
458 jtag_tap_event_action_t* next;
459 };
460
461 extern int jtag_trst;
462 extern int jtag_srst;
463
464 typedef struct jtag_event_callback_s
465 {
466 int (*callback)(enum jtag_event event, void* priv);
467 void* priv;
468 struct jtag_event_callback_s* next;
469 } jtag_event_callback_t;
470
471 extern jtag_event_callback_t* jtag_event_callbacks;
472
473 extern jtag_interface_t* jtag; /* global pointer to configured JTAG interface */
474
475 extern int jtag_speed;
476 extern int jtag_speed_post_reset;
477
478 enum reset_types {
479 RESET_NONE = 0x0,
480 RESET_HAS_TRST = 0x1,
481 RESET_HAS_SRST = 0x2,
482 RESET_TRST_AND_SRST = 0x3,
483 RESET_SRST_PULLS_TRST = 0x4,
484 RESET_TRST_PULLS_SRST = 0x8,
485 RESET_TRST_OPEN_DRAIN = 0x10,
486 RESET_SRST_PUSH_PULL = 0x20,
487 };
488
489 extern enum reset_types jtag_reset_config;
490
491 /* initialize interface upon startup. A successful no-op
492 * upon subsequent invocations
493 */
494 extern int jtag_interface_init(struct command_context_s* cmd_ctx);
495
496 /* initialize JTAG chain using only a RESET reset. If init fails,
497 * try reset + init.
498 */
499 extern int jtag_init(struct command_context_s* cmd_ctx);
500
501 /* reset, then initialize JTAG chain */
502 extern int jtag_init_reset(struct command_context_s* cmd_ctx);
503 extern int jtag_register_commands(struct command_context_s* cmd_ctx);
504
505 /* JTAG interface, can be implemented with a software or hardware fifo
506 *
507 * TAP_DRSHIFT and TAP_IRSHIFT are illegal end states. TAP_DRSHIFT/IRSHIFT as end states
508 * can be emulated by using a larger scan.
509 *
510 * Code that is relatively insensitive to the path(as long
511 * as it is JTAG compliant) taken through state machine can use
512 * endstate for jtag_add_xxx_scan(). Otherwise the pause state must be
513 * specified as end state and a subsequent jtag_add_pathmove() must
514 * be issued.
515 *
516 */
517 extern void jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
518 extern int interface_jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
519 extern void jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
520 extern int interface_jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
521 extern void jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
522 extern int interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
523 extern void jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
524 extern int interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
525
526 /* run a TAP_RESET reset. End state is TAP_RESET, regardless
527 * of start state.
528 */
529 extern void jtag_add_tlr(void);
530 extern int interface_jtag_add_tlr(void);
531
532 /* Do not use jtag_add_pathmove() unless you need to, but do use it
533 * if you have to.
534 *
535 * DANGER! If the target is dependent upon a particular sequence
536 * of transitions for things to work correctly(e.g. as a workaround
537 * for an errata that contradicts the JTAG standard), then pathmove
538 * must be used, even if some jtag interfaces happen to use the
539 * desired path. Worse, the jtag interface used for testing a
540 * particular implementation, could happen to use the "desired"
541 * path when transitioning to/from end
542 * state.
543 *
544 * A list of unambigious single clock state transitions, not
545 * all drivers can support this, but it is required for e.g.
546 * XScale and Xilinx support
547 *
548 * Note! TAP_RESET must not be used in the path!
549 *
550 * Note that the first on the list must be reachable
551 * via a single transition from the current state.
552 *
553 * All drivers are required to implement jtag_add_pathmove().
554 * However, if the pathmove sequence can not be precisely
555 * executed, an interface_jtag_add_pathmove() or jtag_execute_queue()
556 * must return an error. It is legal, but not recommended, that
557 * a driver returns an error in all cases for a pathmove if it
558 * can only implement a few transitions and therefore
559 * a partial implementation of pathmove would have little practical
560 * application.
561 */
562 extern void jtag_add_pathmove(int num_states, tap_state_t* path);
563 extern int interface_jtag_add_pathmove(int num_states, tap_state_t* path);
564
565 /* go to TAP_IDLE, if we're not already there and cycle
566 * precisely num_cycles in the TAP_IDLE after which move
567 * to the end state, if it is != TAP_IDLE
568 *
569 * nb! num_cycles can be 0, in which case the fn will navigate
570 * to endstate via TAP_IDLE
571 */
572 extern void jtag_add_runtest(int num_cycles, tap_state_t endstate);
573 extern int interface_jtag_add_runtest(int num_cycles, tap_state_t endstate);
574
575 /* A reset of the TAP state machine can be requested.
576 *
577 * Whether tms or trst reset is used depends on the capabilities of
578 * the target and jtag interface(reset_config command configures this).
579 *
580 * srst can driver a reset of the TAP state machine and vice
581 * versa
582 *
583 * Application code may need to examine value of jtag_reset_config
584 * to determine the proper codepath
585 *
586 * DANGER! Even though srst drives trst, trst might not be connected to
587 * the interface, and it might actually be *harmful* to assert trst in this case.
588 *
589 * This is why combinations such as "reset_config srst_only srst_pulls_trst"
590 * are supported.
591 *
592 * only req_tlr_or_trst and srst can have a transition for a
593 * call as the effects of transitioning both at the "same time"
594 * are undefined, but when srst_pulls_trst or vice versa,
595 * then trst & srst *must* be asserted together.
596 */
597 extern void jtag_add_reset(int req_tlr_or_trst, int srst);
598
599 /* this drives the actual srst and trst pins. srst will always be 0
600 * if jtag_reset_config & RESET_SRST_PULLS_TRST != 0 and ditto for
601 * trst.
602 *
603 * the higher level jtag_add_reset will invoke jtag_add_tlr() if
604 * approperiate
605 */
606 extern int interface_jtag_add_reset(int trst, int srst);
607 extern void jtag_add_end_state(tap_state_t endstate);
608 extern int interface_jtag_add_end_state(tap_state_t endstate);
609 extern void jtag_add_sleep(u32 us);
610 extern int interface_jtag_add_sleep(u32 us);
611
612
613 /**
614 * Function jtag_add_stable_clocks
615 * first checks that the state in which the clocks are to be issued is
616 * stable, then queues up clock_count clocks for transmission.
617 */
618 void jtag_add_clocks(int num_cycles);
619 int interface_jtag_add_clocks(int num_cycles);
620
621
622 /*
623 * For software FIFO implementations, the queued commands can be executed
624 * during this call or earlier. A sw queue might decide to push out
625 * some of the jtag_add_xxx() operations once the queue is "big enough".
626 *
627 * This fn will return an error code if any of the prior jtag_add_xxx()
628 * calls caused a failure, e.g. check failure. Note that it does not
629 * matter if the operation was executed *before* jtag_execute_queue(),
630 * jtag_execute_queue() will still return an error code.
631 *
632 * All jtag_add_xxx() calls that have in_handler!=NULL will have been
633 * executed when this fn returns, but if what has been queued only
634 * clocks data out, without reading anything back, then JTAG could
635 * be running *after* jtag_execute_queue() returns. The API does
636 * not define a way to flush a hw FIFO that runs *after*
637 * jtag_execute_queue() returns.
638 *
639 * jtag_add_xxx() commands can either be executed immediately or
640 * at some time between the jtag_add_xxx() fn call and jtag_execute_queue().
641 */
642 extern int jtag_execute_queue(void);
643
644 /* can be implemented by hw+sw */
645 extern int interface_jtag_execute_queue(void);
646 extern int jtag_power_dropout(int* dropout);
647 extern int jtag_srst_asserted(int* srst_asserted);
648
649 /* JTAG support functions */
650 extern void jtag_set_check_value(scan_field_t* field, u8* value, u8* mask, error_handler_t* in_error_handler);
651 extern enum scan_type jtag_scan_type(scan_command_t* cmd);
652 extern int jtag_scan_size(scan_command_t* cmd);
653 extern int jtag_read_buffer(u8* buffer, scan_command_t* cmd);
654 extern int jtag_build_buffer(scan_command_t* cmd, u8** buffer);
655
656 extern void jtag_sleep(u32 us);
657 extern int jtag_call_event_callbacks(enum jtag_event event);
658 extern int jtag_register_event_callback(int (* callback)(enum jtag_event event, void* priv), void* priv);
659
660 extern int jtag_verify_capture_ir;
661
662 void jtag_tap_handle_event(jtag_tap_t* tap, enum jtag_tap_event e);
663
664 /* error codes
665 * JTAG subsystem uses codes between -100 and -199 */
666
667 #define ERROR_JTAG_INIT_FAILED (-100)
668 #define ERROR_JTAG_INVALID_INTERFACE (-101)
669 #define ERROR_JTAG_NOT_IMPLEMENTED (-102)
670 #define ERROR_JTAG_TRST_ASSERTED (-103)
671 #define ERROR_JTAG_QUEUE_FAILED (-104)
672 #define ERROR_JTAG_NOT_STABLE_STATE (-105)
673 #define ERROR_JTAG_DEVICE_ERROR (-107)
674
675
676 /* this allows JTAG devices to implement the entire jtag_xxx() layer in hw/sw */
677 #ifdef HAVE_JTAG_MINIDRIVER_H
678 /* Here a #define MINIDRIVER() and an inline version of hw fifo interface_jtag_add_dr_out can be defined */
679 #include "jtag_minidriver.h"
680 #define MINIDRIVER(a) notused ## a
681 #else
682 #define MINIDRIVER(a) a
683
684 /* jtag_add_dr_out() is a faster version of jtag_add_dr_scan()
685 *
686 * Current or end_state can not be TAP_RESET. end_state can be TAP_INVALID
687 *
688 * num_bits[i] is the number of bits to clock out from value[i] LSB first.
689 *
690 * If the device is in bypass, then that is an error condition in
691 * the caller code that is not detected by this fn, whereas jtag_add_dr_scan()
692 * does detect it. Similarly if the device is not in bypass, data must
693 * be passed to it.
694 *
695 * If anything fails, then jtag_error will be set and jtag_execute() will
696 * return an error. There is no way to determine if there was a failure
697 * during this function call.
698 *
699 * Note that this jtag_add_dr_out can be defined as an inline function.
700 */
701 extern void interface_jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
702 tap_state_t end_state);
703
704 #endif
705
706 static __inline__ void jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
707 tap_state_t end_state)
708 {
709 if (end_state != TAP_INVALID)
710 cmd_queue_end_state = end_state;
711 cmd_queue_cur_state = cmd_queue_end_state;
712 interface_jtag_add_dr_out(tap, num_fields, num_bits, value, cmd_queue_end_state);
713 }
714
715
716 #endif /* JTAG_H */

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)