tcl: replace the deprecated commands with "adapter ..." 84/5284/5
authorAntonio Borneo <borneo.antonio@gmail.com>
Fri, 23 Aug 2019 13:51:00 +0000 (15:51 +0200)
committerOleksij Rempel <linux@rempel-privat.de>
Wed, 29 Jan 2020 06:34:43 +0000 (06:34 +0000)
Avoid annoying "deprecated" messages while running the scripts
distributed with OpenOCD code.
Change automatically created with commands
sed -i 's/adapter_khz/adapter speed/g' $(find tcl/ -type f)
sed -i 's/adapter_nsrst_delay/adapter srst delay/g' $(find tcl/ -type f)
sed -i 's/adapter_nsrst_assert_width/adapter srst pulse_width/g' $(find tcl/ -type f)

Minor indentation issue fixed manually in
tcl/board/at91sam9g20-ek.cfg
tcl/target/at91sam9260_ext_RAM_ext_flash.cfg

Change-Id: I425fd56c0c88cd6b06124621306eeb89166dfe71
Signed-off-by: Antonio Borneo <borneo.antonio@gmail.com>
Reviewed-on: http://openocd.zylin.com/5284
Tested-by: jenkins
Reviewed-by: Oleksij Rempel <linux@rempel-privat.de>
210 files changed:
tcl/board/actux3.cfg
tcl/board/adsp-sc584-ezbrd.cfg
tcl/board/altera_sockit.cfg
tcl/board/arm_musca_a.cfg
tcl/board/arty_s7.cfg
tcl/board/at91cap7a-stk-sdram.cfg
tcl/board/at91eb40a.cfg
tcl/board/at91rm9200-dk.cfg
tcl/board/at91rm9200-ek.cfg
tcl/board/at91sam9g20-ek.cfg
tcl/board/atmel_sam3n_ek.cfg
tcl/board/avnet_ultrazed-eg.cfg
tcl/board/bcm28155_ap.cfg
tcl/board/colibri.cfg
tcl/board/crossbow_tech_imote2.cfg
tcl/board/csb337.cfg
tcl/board/csb732.cfg
tcl/board/digi_connectcore_wi-9c.cfg
tcl/board/digilent_analog_discovery.cfg
tcl/board/dm365evm.cfg
tcl/board/dp_busblaster_v3.cfg
tcl/board/efm32.cfg
tcl/board/ek-lm3s1968.cfg
tcl/board/embedded-artists_lpc2478-32.cfg
tcl/board/emcraft_imx8m-som-bsb.cfg
tcl/board/ethernut3.cfg
tcl/board/fsl_imx6q_sabresd.cfg
tcl/board/glyn_tonga2.cfg
tcl/board/hilscher_nxdb500sys.cfg
tcl/board/hilscher_nxeb500hmi.cfg
tcl/board/hilscher_nxhx10.cfg
tcl/board/hilscher_nxhx50.cfg
tcl/board/hilscher_nxhx500.cfg
tcl/board/hilscher_nxsb100.cfg
tcl/board/hitex_lpc1768stick.cfg
tcl/board/hitex_lpc2929.cfg
tcl/board/hitex_stm32-performancestick.cfg
tcl/board/hitex_str9-comstick.cfg
tcl/board/icnova_imx53_sodimm.cfg
tcl/board/icnova_sam9g45_sodimm.cfg
tcl/board/imx27lnst.cfg
tcl/board/imx53-m53evk.cfg
tcl/board/imx53loco.cfg
tcl/board/insignal_arndale.cfg
tcl/board/kasli.cfg
tcl/board/kc705.cfg
tcl/board/kcu105.cfg
tcl/board/kindle2.cfg
tcl/board/lubbock.cfg
tcl/board/marsohod.cfg
tcl/board/marsohod2.cfg
tcl/board/marsohod3.cfg
tcl/board/mcb1700.cfg
tcl/board/microchip_saml11_xplained_pro.cfg
tcl/board/mini2440.cfg
tcl/board/mini6410.cfg
tcl/board/numato_mimas_a7.cfg
tcl/board/nxp_imx7sabre.cfg
tcl/board/nxp_mcimx8m-evk.cfg
tcl/board/olimex_sam7_la2.cfg
tcl/board/openrd.cfg
tcl/board/or1k_generic.cfg
tcl/board/phytec_lpc3250.cfg
tcl/board/pxa255_sst.cfg
tcl/board/quark_d2000_refboard.cfg
tcl/board/quark_x10xx_board.cfg
tcl/board/rsc-w910.cfg
tcl/board/sayma_amc.cfg
tcl/board/sheevaplug.cfg
tcl/board/sifive-e31arty.cfg
tcl/board/sifive-e51arty.cfg
tcl/board/sifive-hifive1.cfg
tcl/board/telo.cfg
tcl/board/ti_am437x_idk.cfg
tcl/board/ti_am43xx_evm.cfg
tcl/board/ti_beaglebone.cfg
tcl/board/ti_beaglebone_black.cfg
tcl/board/ti_cc13x0_launchpad.cfg
tcl/board/ti_cc13x2_launchpad.cfg
tcl/board/ti_cc26x0_launchpad.cfg
tcl/board/ti_cc26x2_launchpad.cfg
tcl/board/ti_cc3200_launchxl.cfg
tcl/board/ti_cc3220sf_launchpad.cfg
tcl/board/ti_cc32xx_launchpad.cfg
tcl/board/ti_msp432_launchpad.cfg
tcl/board/ti_tmdx570ls31usb.cfg
tcl/board/tocoding_poplar.cfg
tcl/board/topas910.cfg
tcl/board/topasa900.cfg
tcl/board/twr-vf65gs10.cfg
tcl/board/verdex.cfg
tcl/board/voltcraft_dso-3062c.cfg
tcl/board/zy1000.cfg
tcl/interface/calao-usb-a9260.cfg
tcl/interface/ft232r.cfg
tcl/interface/ftdi/minispartan6.cfg
tcl/interface/ftdi/pipistrello.cfg
tcl/interface/nds32-aice.cfg
tcl/target/1986ве1т.cfg
tcl/target/aduc702x.cfg
tcl/target/aducm360.cfg
tcl/target/allwinner_v3s.cfg
tcl/target/altera_fpgasoc.cfg
tcl/target/amdm37x.cfg
tcl/target/ar71xx.cfg
tcl/target/at91sam3XXX.cfg
tcl/target/at91sam4XXX.cfg
tcl/target/at91sam4lXX.cfg
tcl/target/at91sam9.cfg
tcl/target/at91sam9260_ext_RAM_ext_flash.cfg
tcl/target/at91sam9g20.cfg
tcl/target/at91samdXX.cfg
tcl/target/atheros_ar9331.cfg
tcl/target/atmega128.cfg
tcl/target/atmega128rfa1.cfg
tcl/target/atsame5x.cfg
tcl/target/atsamv.cfg
tcl/target/avr32.cfg
tcl/target/bcm6348.cfg
tcl/target/bluenrg-x.cfg
tcl/target/c100.cfg
tcl/target/c100helper.tcl
tcl/target/cc2538.cfg
tcl/target/dragonite.cfg
tcl/target/dsp56321.cfg
tcl/target/dsp568013.cfg
tcl/target/dsp568037.cfg
tcl/target/efm32.cfg
tcl/target/epc9301.cfg
tcl/target/esi32xx.cfg
tcl/target/feroceon.cfg
tcl/target/fm3.cfg
tcl/target/fm4.cfg
tcl/target/gp326xxxa.cfg
tcl/target/imx28.cfg
tcl/target/imx31.cfg
tcl/target/imx6.cfg
tcl/target/is5114.cfg
tcl/target/k1921vk01t.cfg
tcl/target/ke0x.cfg
tcl/target/klx.cfg
tcl/target/ks869x.cfg
tcl/target/kx.cfg
tcl/target/lpc1850.cfg
tcl/target/lpc1xxx.cfg
tcl/target/lpc2xxx.cfg
tcl/target/lpc3131.cfg
tcl/target/lpc4350.cfg
tcl/target/lpc4370.cfg
tcl/target/lpc8nxx.cfg
tcl/target/ls1012a.cfg
tcl/target/max32620.cfg
tcl/target/max32625.cfg
tcl/target/max3263x.cfg
tcl/target/mc13224v.cfg
tcl/target/mdr32f9q2i.cfg
tcl/target/nrf51.cfg
tcl/target/nrf52.cfg
tcl/target/numicro.cfg
tcl/target/omap3530.cfg
tcl/target/omap5912.cfg
tcl/target/omapl138.cfg
tcl/target/pic32mx.cfg
tcl/target/psoc4.cfg
tcl/target/psoc6.cfg
tcl/target/pxa255.cfg
tcl/target/pxa270.cfg
tcl/target/pxa3xx.cfg
tcl/target/qualcomm_qca4531.cfg
tcl/target/readme.txt
tcl/target/renesas_s7g2.cfg
tcl/target/samsung_s3c2450.cfg
tcl/target/samsung_s3c6410.cfg
tcl/target/sim3x.cfg
tcl/target/smp8634.cfg
tcl/target/stellaris.cfg
tcl/target/stm32f0x.cfg
tcl/target/stm32f1x.cfg
tcl/target/stm32f2x.cfg
tcl/target/stm32f3x.cfg
tcl/target/stm32f4x.cfg
tcl/target/stm32f7x.cfg
tcl/target/stm32h7x.cfg
tcl/target/stm32l0.cfg
tcl/target/stm32l1.cfg
tcl/target/stm32l4x.cfg
tcl/target/stm8l.cfg
tcl/target/stm8s.cfg
tcl/target/str710.cfg
tcl/target/str730.cfg
tcl/target/str750.cfg
tcl/target/str912.cfg
tcl/target/ti_calypso.cfg
tcl/target/ti_cc26x0.cfg
tcl/target/ti_cc32xx.cfg
tcl/target/ti_dm355.cfg
tcl/target/ti_dm365.cfg
tcl/target/ti_dm6446.cfg
tcl/target/ti_msp432.cfg
tcl/target/ti_tms570.cfg
tcl/target/tmpa900.cfg
tcl/target/tmpa910.cfg
tcl/target/u8500.cfg
tcl/target/vybrid_vf6xx.cfg
tcl/target/xmc1xxx.cfg
tcl/target/xmc4xxx.cfg
tcl/target/zynq_7000.cfg
tcl/target/к1879xб1я.cfg
tcl/test/syntax1.cfg
tcl/tools/firmware-recovery.tcl

index 5435ff885fbb75be7f903bbab56de01624168397..0de4cb4cac8c9dd47eec7b6fe8f500fc5c188ad2 100644 (file)
@@ -4,7 +4,7 @@
 
 reset_config trst_and_srst separate
 
 
 reset_config trst_and_srst separate
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 source [find target/ixp42x.cfg]
 jtag_ntrst_delay 100
 
 source [find target/ixp42x.cfg]
index 1054a941f33284aedfedc088cd4f8dce26bfa009..439fe9268bd6363cc7607173cf26681e3714ed1c 100644 (file)
@@ -25,7 +25,7 @@ source [find interface/jlink.cfg]
 transport select swd
 
 # chosen speed is 'safe' choice, but your adapter may be capable of more
 transport select swd
 
 # chosen speed is 'safe' choice, but your adapter may be capable of more
-adapter_khz 400
+adapter speed 400
 
 source [find target/adsp-sc58x.cfg]
 
 
 source [find target/adsp-sc58x.cfg]
 
index 1466bd46bb6dba4357bff08d12b219aa0d857d23..3fd01be33dea2aa37ca64a2649e7d1e34fb38df7 100644 (file)
@@ -15,5 +15,5 @@ source [find target/altera_fpgasoc.cfg]
 #usb_blaster_vid_pid 0x6810 0x09fb
 #usb_blaster_device_desc "USB-Blaster II"
 
 #usb_blaster_vid_pid 0x6810 0x09fb
 #usb_blaster_device_desc "USB-Blaster II"
 
-adapter_khz 100
+adapter speed 100
 
 
index fa7cf5eef7f3c0e6439b026cc66f86b7c1ade4ba..25f8ce61af8cc93a32a3d0e62962704748c5f9a4 100644 (file)
@@ -15,7 +15,7 @@
 source [find target/swj-dp.tcl]
 
 # set a safe JTAG clock speed, can be overridden
 source [find target/swj-dp.tcl]
 
 # set a safe JTAG clock speed, can be overridden
-adapter_khz 1000
+adapter speed 1000
 
 global _CHIPNAME
 if { [info exists CHIPNAME] } {
 
 global _CHIPNAME
 if { [info exists CHIPNAME] } {
index ca7d3f1c459230daa2488b12276d7348916529c1..5ab408391ef7b8249578f7edb0a250e3cfeaa73a 100644 (file)
@@ -10,7 +10,7 @@ source [find interface/ftdi/digilent-hs1.cfg]
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
 
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
 
-adapter_khz 25000
+adapter speed 25000
 
 # Usage:
 #
 
 # Usage:
 #
index 9bc02e8c0383bd563d1cda73202112c6dbf7bc2c..a0e393f2c9136b58afa60495987b884ce397de84 100644 (file)
@@ -28,7 +28,7 @@ target create $_TARGETNAME arm7tdmi -endian $_ENDIAN -chain-position $_TARGETNAM
 
 $_TARGETNAME configure -event reset-start {
        # start off real slow when we're running off internal RC oscillator
 
 $_TARGETNAME configure -event reset-start {
        # start off real slow when we're running off internal RC oscillator
-       adapter_khz 32
+       adapter speed 32
 }
 
 proc peek32 {address} {
 }
 
 proc peek32 {address} {
@@ -78,7 +78,7 @@ $_TARGETNAME configure -event reset-init {
        echo "Master clock ok."
        
        # Now that we're up and running, crank up speed!
        echo "Master clock ok."
        
        # Now that we're up and running, crank up speed!
-       global post_reset_khz ; adapter_khz $post_reset_khz
+       global post_reset_khz ; adapter speed $post_reset_khz
        
        echo "Configuring the SDRAM controller..."
 
        
        echo "Configuring the SDRAM controller..."
 
index d8a82a59de533f05f7b03113896f3f01a8d81b50..d314e181de14c3877a8b85fd3f22b9a8922ed6a1 100644 (file)
@@ -64,4 +64,4 @@ $_TARGETNAME configure -event reset-init {
 }
 
 # This target is pretty snappy...
 }
 
 # This target is pretty snappy...
-adapter_khz 16000
+adapter speed 16000
index f484fded1f78faf5c6b6b83c44817a9844426bc6..b8ec00eab98e3985373ff0f0f0adf56027b9b2a9 100644 (file)
@@ -19,7 +19,7 @@ flash bank $_FLASHNAME cfi 0x10000000 0x00200000 2 2 $_TARGETNAME
 proc at91rm9200_dk_init { } {
     # Try to run at 1khz... Yea, that slow!
     # Chip is really running @ 32khz
 proc at91rm9200_dk_init { } {
     # Try to run at 1khz... Yea, that slow!
     # Chip is really running @ 32khz
-    adapter_khz 8
+    adapter speed 8
 
     mww 0xfffffc64 0xffffffff
     ##  disable all clocks but system clock
 
     mww 0xfffffc64 0xffffffff
     ##  disable all clocks but system clock
@@ -45,7 +45,7 @@ proc at91rm9200_dk_init { } {
     #========================================
     # CPU now runs at 180mhz
     # SYS runs at 60mhz.
     #========================================
     # CPU now runs at 180mhz
     # SYS runs at 60mhz.
-    adapter_khz 40000
+    adapter speed 40000
     #========================================
 
 
     #========================================
 
 
index a3f253a26c602edbcfa17ca36b2537e05fd9d7ea..958bc9d5189510660105920031288d6543064d3f 100644 (file)
@@ -19,12 +19,12 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME cfi 0x10000000 0x00800000 2 2 $_TARGETNAME
 
 # The chip may run @ 32khz, so set a really low JTAG speed
 flash bank $_FLASHNAME cfi 0x10000000 0x00800000 2 2 $_TARGETNAME
 
 # The chip may run @ 32khz, so set a really low JTAG speed
-adapter_khz 8
+adapter speed 8
 
 proc at91rm9200_ek_init { } {
        # Try to run at 1khz... Yea, that slow!
        # Chip is really running @ 32khz
 
 proc at91rm9200_ek_init { } {
        # Try to run at 1khz... Yea, that slow!
        # Chip is really running @ 32khz
-       adapter_khz 8
+       adapter speed 8
 
        mww 0xfffffc64 0xffffffff
        ## disable all clocks but system clock
 
        mww 0xfffffc64 0xffffffff
        ## disable all clocks but system clock
@@ -61,7 +61,7 @@ proc at91rm9200_ek_init { } {
        #========================================
        # CPU now runs at 180mhz
        # SYS runs at 60mhz.
        #========================================
        # CPU now runs at 180mhz
        # SYS runs at 60mhz.
-       adapter_khz 40000
+       adapter speed 40000
        #========================================
 
        ## Init SDRAM
        #========================================
 
        ## Init SDRAM
index 741d6018dc467e6feecf91cd1b711187e818dc15..773c8899a12c65e0069b01ba95a5d2a289753a47 100644 (file)
@@ -19,7 +19,7 @@ set _FLASHTYPE nandflash_cs3
 
 reset_config srst_only
 
 
 reset_config srst_only
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 # If you don't want to execute built-in boot rom code (and there are good reasons at times not to do that) in the
 jtag_ntrst_delay 200
 
 # If you don't want to execute built-in boot rom code (and there are good reasons at times not to do that) in the
@@ -54,7 +54,7 @@ proc at91sam9g20_reset_start { } {
        # jtag speed without causing GDB keep alive problem.
 
        arm7_9 fast_memory_access disable
        # jtag speed without causing GDB keep alive problem.
 
        arm7_9 fast_memory_access disable
-       adapter_khz 2                   ;# Slow-speed oscillator enabled at reset, so run jtag speed slow.
+       adapter speed 2                 ;# Slow-speed oscillator enabled at reset, so run jtag speed slow.
        halt                            ;# Make sure processor is halted, or error will result in following steps.
        wait_halt 10000
        mww 0xfffffd08 0xa5000501       ;# RSTC_MR : enable user reset.
        halt                            ;# Make sure processor is halted, or error will result in following steps.
        wait_halt 10000
        mww 0xfffffd08 0xa5000501       ;# RSTC_MR : enable user reset.
@@ -103,7 +103,7 @@ proc at91sam9g20_reset_init { } {
 
        # Switch over to adaptive clocking.
 
 
        # Switch over to adaptive clocking.
 
-       adapter_khz 0
+       adapter speed 0
 
        # Enable faster DCC downloads and memory accesses.
 
 
        # Enable faster DCC downloads and memory accesses.
 
index 2ae73ebface0dc15bc507166eb6e5ec490f44963..e43008f10823cafe5d47f310cb5c9f6ff6bde9c5 100644 (file)
@@ -7,6 +7,6 @@ reset_config srst_only
 
 set CHIPNAME at91sam3n4c
 
 
 set CHIPNAME at91sam3n4c
 
-adapter_khz 32
+adapter speed 32
 
 source [find target/at91sam3nXX.cfg]
 
 source [find target/at91sam3nXX.cfg]
index 9879bfcb15e3ec7468cdaa6038ba613d2a702bf6..3e4a11a3e45a1911fc514446763489b42541142d 100644 (file)
@@ -9,7 +9,7 @@ transport select jtag
 reset_config none
 
 # slow default clock
 reset_config none
 
 # slow default clock
-adapter_khz 1000
+adapter speed 1000
 
 set CHIPNAME uscale
 
 
 set CHIPNAME uscale
 
index fb729e1917695bec3731157b64d6196129b8d34e..770ff9cd5c9843ebc2eebd9bed61eb2c876d5ee2 100644 (file)
@@ -1,6 +1,6 @@
 # BCM28155_AP
 
 # BCM28155_AP
 
-adapter_khz 20000
+adapter speed 20000
 
 set CHIPNAME bcm28155
 source [find target/bcm281xx.cfg]
 
 set CHIPNAME bcm28155
 source [find target/bcm281xx.cfg]
index 7c1f1cb513d0192c38279de3cb7ba94893e2c4dd..fe9a3d50e02eb602ab0209d1af71853ed80090a1 100644 (file)
@@ -1,7 +1,7 @@
 # Toradex Colibri PXA270
 source [find target/pxa270.cfg]
 reset_config trst_and_srst srst_push_pull
 # Toradex Colibri PXA270
 source [find target/pxa270.cfg]
 reset_config trst_and_srst srst_push_pull
-adapter_nsrst_assert_width 40
+adapter srst pulse_width 40
 
 # CS0 -- one bank of CFI flash, 32 MBytes
 # the bank is 32-bits wide, two 16-bit chips in parallel
 
 # CS0 -- one bank of CFI flash, 32 MBytes
 # the bank is 32-bits wide, two 16-bit chips in parallel
index 002b5372f721f1344ef52ff411226b70fa3af048..277c353a1be4c4b2537727f27af9727bb460218a 100644 (file)
@@ -4,7 +4,7 @@ set  CHIPNAME imote2
 source [find target/pxa270.cfg]
 
 # longer-than-normal reset delay
 source [find target/pxa270.cfg]
 
 # longer-than-normal reset delay
-adapter_nsrst_delay 800
+adapter srst delay 800
 
 reset_config trst_and_srst separate
 
 
 reset_config trst_and_srst separate
 
index 5e225f5f549de04f21d3dabe413cbe130d36ad26..a9d0139298fcac47d964a32541c74f48649980b2 100644 (file)
@@ -19,7 +19,7 @@ if { [info exists ETM_DRIVER] } {
 
 proc csb337_clk_init { } {
        # CPU is in Slow Clock Mode (32KiHz) ... needs slow JTAG clock
 
 proc csb337_clk_init { } {
        # CPU is in Slow Clock Mode (32KiHz) ... needs slow JTAG clock
-       adapter_khz 8
+       adapter speed 8
 
        # CKGR_MOR:  start main oscillator (3.6864 MHz)
        mww 0xfffffc20 0xff01
 
        # CKGR_MOR:  start main oscillator (3.6864 MHz)
        mww 0xfffffc20 0xff01
@@ -37,7 +37,7 @@ proc csb337_clk_init { } {
        sleep 20
 
        # CPU is in Normal Mode ... allows faster JTAG clock speed
        sleep 20
 
        # CPU is in Normal Mode ... allows faster JTAG clock speed
-       adapter_khz 40000
+       adapter speed 40000
 }
 
 proc csb337_nor_init { } {
 }
 
 proc csb337_nor_init { } {
index 4d6f0e489e6fe0e44c4d95e7ac82f114151ec055..35e397ff22c2f3df85e30b25219a64512d21c48f 100644 (file)
@@ -3,7 +3,7 @@ source [find target/imx35.cfg]
 
 # Determined by trial and error
 reset_config trst_and_srst combined
 
 # Determined by trial and error
 reset_config trst_and_srst combined
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 $_TARGETNAME configure -event gdb-attach { reset init }
 jtag_ntrst_delay 200
 
 $_TARGETNAME configure -event gdb-attach { reset init }
index 8a8d4c3bfb69108b4ea4fcb3dfbd1178b348e78e..43ad1c90e084f01f82262f2ad7a74c6286c7a7ee 100644 (file)
@@ -36,7 +36,7 @@ if { [info exists CPUTAPID] } {
 set _TARGETNAME $_CHIPNAME.cpu
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 
 set _TARGETNAME $_CHIPNAME.cpu
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 0
 
 
 jtag_ntrst_delay 0
 
 
index 65eb660842773f16c5e44a4ad574e77150eace8e..954e5400835bda3081b8c8ac7bc9fbe9c6b91ea6 100644 (file)
@@ -13,6 +13,6 @@ ftdi_vid_pid 0x0403 0x6014
 
 ftdi_layout_init 0x8008 0x800b
 
 
 ftdi_layout_init 0x8008 0x800b
 
-adapter_khz 25000
+adapter speed 25000
 
 source [find cpld/xilinx-xc6s.cfg]
 
 source [find cpld/xilinx-xc6s.cfg]
index 8f268c4551c22140178bebb7e9b61bf8738e2faa..ed34c4b2930263252ecb663305992919e402f119 100644 (file)
@@ -103,7 +103,7 @@ proc dm365evm_init {} {
        echo "Initialize DM365 EVM board"
 
        # CLKIN = 24 MHz ... can't talk quickly to ARM yet
        echo "Initialize DM365 EVM board"
 
        # CLKIN = 24 MHz ... can't talk quickly to ARM yet
-       adapter_khz 1500
+       adapter speed 1500
 
        # FIXME -- PLL init
 
 
        # FIXME -- PLL init
 
index f21197b73b1b23bc9be7701b3e74280bffe9f514..a9974d9bcb3f22efa3d476d8b7222b1143ee956c 100644 (file)
@@ -4,7 +4,7 @@
 # http://dangerousprototypes.com/docs/Bus_Blaster
 #
 # To reprogram the on-board CPLD do:
 # http://dangerousprototypes.com/docs/Bus_Blaster
 #
 # To reprogram the on-board CPLD do:
-# openocd -f board/dp_busblaster_v3.cfg -c "adapter_khz 1000; init; svf <path_to_svf>; shutdown"
+# openocd -f board/dp_busblaster_v3.cfg -c "adapter speed 1000; init; svf <path_to_svf>; shutdown"
 #
 
 source [find interface/ftdi/dp_busblaster.cfg]
 #
 
 source [find interface/ftdi/dp_busblaster.cfg]
index d2bc9a6112f829ec5901380b458f217e6583535f..adbdda72e5da9dcecb27174c615c36954883e726 100644 (file)
@@ -5,7 +5,7 @@
 
 source [find interface/jlink.cfg]
 transport select swd
 
 source [find interface/jlink.cfg]
 transport select swd
-adapter_khz 1000
+adapter speed 1000
 
 set CHIPNAME efm32
 source [find target/efm32.cfg]
 
 set CHIPNAME efm32
 source [find target/efm32.cfg]
index 8d990b1983d88046e3870d7ba1c76e8ab772fc5b..bbb04baa612b51d8bb760e199b45fcbeaa8342ab 100644 (file)
@@ -5,7 +5,7 @@
 #
 
 # NOTE:  to use J-Link instead of the on-board interface,
 #
 
 # NOTE:  to use J-Link instead of the on-board interface,
-# you may also need to reduce adapter_khz to be about 1200.
+# you may also need to reduce adapter speed to be about 1200.
 # source [find interface/jlink.cfg]
 
 # include the FT2232 interface config for on-board JTAG interface
 # source [find interface/jlink.cfg]
 
 # include the FT2232 interface config for on-board JTAG interface
index b036cd69cd709f1b5fe7985e9fd6484a318cf78f..6c3aec64837fa49c87aa7f5db6c16d4356d57718 100644 (file)
@@ -15,7 +15,7 @@ proc read_register {register} {
 
 proc init_board {} {
     # Delays on reset lines
 
 proc init_board {} {
     # Delays on reset lines
-    adapter_nsrst_delay 500
+    adapter srst delay 500
     jtag_ntrst_delay 1
 
     # Adaptive JTAG clocking through RTCK.
     jtag_ntrst_delay 1
 
     # Adaptive JTAG clocking through RTCK.
index 5571d0ecb7e670e2b018bc6ee3badb1708fca856..248c0d4000d59b1bedb377aadab17e8a0136ccf8 100644 (file)
@@ -6,13 +6,13 @@
 transport select jtag
 
 # set a safe JTAG clock speed, can be overridden
 transport select jtag
 
 # set a safe JTAG clock speed, can be overridden
-adapter_khz 1000
+adapter speed 1000
 
 # SRST and TRST are wired up
 reset_config trst_and_srst
 
 # delay after SRST goes inactive
 
 # SRST and TRST are wired up
 reset_config trst_and_srst
 
 # delay after SRST goes inactive
-adapter_nsrst_delay 70
+adapter srst delay 70
 
 # board has an i.MX8MQ with 4 Cortex-A53 cores
 set CHIPNAME imx8mq
 
 # board has an i.MX8MQ with 4 Cortex-A53 cores
 set CHIPNAME imx8mq
index ad455273378346b1abc1ef3c3eb7a12837de0057..72fc5ade3fdd6e86ab84d0e087463bd45ab7ad8b 100644 (file)
@@ -20,13 +20,13 @@ flash bank $_FLASHNAME cfi 0x10000000 0x400000 2 2 $_TARGETNAME
 # Micrel MIC2775-29YM5 Supervisor
 # Reset output will remain active for 280ms (maximum)
 #
 # Micrel MIC2775-29YM5 Supervisor
 # Reset output will remain active for 280ms (maximum)
 #
-adapter_nsrst_delay 300
+adapter srst delay 300
 jtag_ntrst_delay 300
 
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
 jtag_ntrst_delay 300
 
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
-adapter_khz 16000
+adapter speed 16000
 
 
 # Target events
 
 
 # Target events
index e1f0892f038da703bc1662e9cc2f13dcae29e332..cf34cd16d5bd681152e17737b2f520a08b373e58 100644 (file)
@@ -13,7 +13,7 @@ transport select jtag
 
 # iMX6Q POR gates JTAG and the chip is completely incommunicado
 # over JTAG for at least 10ms after nSRST is deasserted
 
 # iMX6Q POR gates JTAG and the chip is completely incommunicado
 # over JTAG for at least 10ms after nSRST is deasserted
-adapter_nsrst_delay 11
+adapter srst delay 11
 
 # Source generic iMX6Q target configuration
 set CHIPNAME imx6q
 
 # Source generic iMX6Q target configuration
 set CHIPNAME imx6q
@@ -144,4 +144,4 @@ $_TARGETNAME.0 configure -event reset-assert { }
 # hook the init function into the reset-init event
 $_TARGETNAME.0 configure -event reset-init { imx6q_sabresd_init }
 # set a slow default JTAG clock, can be overridden later
 # hook the init function into the reset-init event
 $_TARGETNAME.0 configure -event reset-init { imx6q_sabresd_init }
 # set a slow default JTAG clock, can be overridden later
-adapter_khz 1000
+adapter speed 1000
index 17ed3cf20b1f8e6451b3b81cccfc6b410411a226..31aa9ff5ea9b4976a6b8c19d0ab9b925791af97b 100644 (file)
@@ -19,12 +19,12 @@ source [find target/tmpa900.cfg]
 # Initial JTAG speed should not exceed 1/6 of the initial CPU clock
 # frequency (24MHz). Be conservative and use 1/8 of the frequency.
 # (24MHz / 8 = 3MHz)
 # Initial JTAG speed should not exceed 1/6 of the initial CPU clock
 # frequency (24MHz). Be conservative and use 1/8 of the frequency.
 # (24MHz / 8 = 3MHz)
-adapter_khz 3000
+adapter speed 3000
 
 $_TARGETNAME configure -event reset-start {
        # Upon reset, set the JTAG frequency to 3MHz again, see above.
        echo "Setting JTAG speed to 3MHz until clocks are initialized."
 
 $_TARGETNAME configure -event reset-start {
        # Upon reset, set the JTAG frequency to 3MHz again, see above.
        echo "Setting JTAG speed to 3MHz until clocks are initialized."
-       adapter_khz 3000
+       adapter speed 3000
 
        # Halt the CPU.
        halt
 
        # Halt the CPU.
        halt
@@ -41,7 +41,7 @@ $_TARGETNAME configure -event reset-init {
        # Tests showed that 15MHz works OK, higher speeds can cause problems,
        # though. Not sure if this is a CPU issue or JTAG adapter issue.
        echo "Increasing JTAG speed to 15MHz."
        # Tests showed that 15MHz works OK, higher speeds can cause problems,
        # though. Not sure if this is a CPU issue or JTAG adapter issue.
        echo "Increasing JTAG speed to 15MHz."
-       adapter_khz 15000
+       adapter speed 15000
 
        # Enable faster memory access.
        arm7_9 fast_memory_access enable
 
        # Enable faster memory access.
        arm7_9 fast_memory_access enable
index 77073e729ed43b728bac5ab5174872bbed292952..d71c4453b541668751afa4c0fea07bb80d91fa76 100644 (file)
@@ -5,7 +5,7 @@
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
index 64391561e68246e4de31da4eeab9f7a5f4380649..aa3d58701ce839f9931ea4a3ac8f4a0dac0f069e 100644 (file)
@@ -5,7 +5,7 @@
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
index 4ef2f3b967a20afdb8bf52885ff883eb8eee9997..7ff99165a9007ee6ddf17858889226d7604866bc 100644 (file)
@@ -9,7 +9,7 @@ source [find target/hilscher_netx10.cfg]
 # problems try to line below
 # reset_config trst_and_srst srst_pulls_trst
 reset_config trst_and_srst
 # problems try to line below
 # reset_config trst_and_srst srst_pulls_trst
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x08000000 -work-area-phys 0x08000000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x08000000 -work-area-phys 0x08000000 -work-area-size 0x4000 -work-area-backup 1
index eebb165243dc7baa2aae7bd909c73b8d66128919..0867f2ed6a2ba00dcfe50594ded6c437f2899ffc 100644 (file)
@@ -5,7 +5,7 @@
 source [find target/hilscher_netx50.cfg]
 
 reset_config trst_and_srst
 source [find target/hilscher_netx50.cfg]
 
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x10000000 -work-area-phys 0x10000000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x10000000 -work-area-phys 0x10000000 -work-area-size 0x4000 -work-area-backup 1
index dd3a9514db01f855419446f313a7290b190d4cfe..2ba030ec10a9dfff6a75090b70fade553289ed4d 100644 (file)
@@ -5,7 +5,7 @@
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
index efb091b101df046b531fad966d6a6bb368a7331a..807e29200c4967f7cdee6cf589edf1ad75c7122a 100644 (file)
@@ -5,7 +5,7 @@
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
 source [find target/hilscher_netx500.cfg]
 
 reset_config trst_and_srst
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
 jtag_ntrst_delay 500
 
 $_TARGETNAME configure -work-area-virt 0x1000 -work-area-phys 0x1000 -work-area-size 0x4000 -work-area-backup 1
index 161e9654a4cc8f01f8ba3039d9ae2572a7eee70d..8c1193608a187415f55c4d134a34ee42dfe2776a 100644 (file)
@@ -11,5 +11,5 @@ source [find target/lpc17xx.cfg]
 
 
 # startup @ 500kHz
 
 
 # startup @ 500kHz
-adapter_khz 500
+adapter speed 500
 
 
index d2515371df806f4c6cfc6f550aa8dc11eb0820eb..f51779867d576f35b5a91db0ae17d7c31cb2962e 100644 (file)
@@ -2,12 +2,12 @@
 # http://www.hitex.com/
 
 # Delays on reset lines
 # http://www.hitex.com/
 
 # Delays on reset lines
-adapter_nsrst_delay 50
+adapter srst delay 50
 jtag_ntrst_delay 1
 
 # Maximum of 1/8 of clock frequency (XTAL = 16 MHz).
 # Adaptive clocking through RTCK is not supported.
 jtag_ntrst_delay 1
 
 # Maximum of 1/8 of clock frequency (XTAL = 16 MHz).
 # Adaptive clocking through RTCK is not supported.
-adapter_khz 2000
+adapter speed 2000
 
 # Target device: LPC29xx with ETB
 # The following variables are used by the LPC2900 script:
 
 # Target device: LPC29xx with ETB
 # The following variables are used by the LPC2900 script:
@@ -24,7 +24,7 @@ $_TARGETNAME configure -work-area-phys 0x58000000 -work-area-size 0x10000 -work-
 # Event handlers
 $_TARGETNAME configure -event reset-start {
   # Back to the slow JTAG clock
 # Event handlers
 $_TARGETNAME configure -event reset-start {
   # Back to the slow JTAG clock
-  adapter_khz 2000
+  adapter speed 2000
 }
 
 # External 16-bit flash at chip select CS7 (SST39VF3201-70, 4 MiB)
 }
 
 # External 16-bit flash at chip select CS7 (SST39VF3201-70, 4 MiB)
@@ -46,7 +46,7 @@ $_TARGETNAME configure -event reset-init {
   mww 0xFFFF8070 0x02000000     ;# SYS_CLK_CONF: PLL
 
   # Increase JTAG speed
   mww 0xFFFF8070 0x02000000     ;# SYS_CLK_CONF: PLL
 
   # Increase JTAG speed
-  adapter_khz 6000
+  adapter speed 6000
 
   # Enable external memory bus (16-bit SRAM at CS6, 16-bit flash at CS7)
   mww 0xE0001138 0x0000001F     ;# P1.14 = D0
 
   # Enable external memory bus (16-bit SRAM at CS6, 16-bit flash at CS7)
   mww 0xE0001138 0x0000001F     ;# P1.14 = D0
index 82fb169619ed33a0b7771efccde76a948987f2fd..738178af062bd40d79e49fa256cd6546e59a2b2f 100644 (file)
@@ -12,5 +12,5 @@ source [find target/stm32f1x.cfg]
 jtag newtap str750 cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id 0x4f1f0041
 
 # for some reason this board like to startup @ 500kHz
 jtag newtap str750 cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id 0x4f1f0041
 
 # for some reason this board like to startup @ 500kHz
-adapter_khz 500
+adapter speed 500
 
 
index be153314fa59438d0c2205ce6fd9c75a21be1d7a..3b9225213df0903d3dcc0ac3b4e33d97b27b2fd7 100644 (file)
@@ -5,9 +5,9 @@
 source [find interface/ftdi/hitex_str9-comstick.cfg]
 
 # set jtag speed
 source [find interface/ftdi/hitex_str9-comstick.cfg]
 
 # set jtag speed
-adapter_khz 3000
+adapter speed 3000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
 jtag_ntrst_delay 100
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
index aa6a148a0a9cd059f9716b17f4cab8cc9798d1bd..2345ef1202fa87b06522ac87924c947399503966 100644 (file)
@@ -15,7 +15,7 @@ echo "i.MX53 SO-Dimm board lodaded."
 # Set reset type
 #reset_config srst_only
 
 # Set reset type
 #reset_config srst_only
 
-adapter_khz 3000
+adapter speed 3000
 
 # Slow speed to be sure it will work
 jtag_rclk 1000
 
 # Slow speed to be sure it will work
 jtag_rclk 1000
@@ -58,7 +58,7 @@ proc sodimm_init { } {
        arm core_state arm
 
        jtag_rclk 3000
        arm core_state arm
 
        jtag_rclk 3000
-#      adapter_khz 3000
+#      adapter speed 3000
 }
 
 
 }
 
 
index 84dab3899fd1ac503712bded29bc5ffae0bf34eb..bf70cca9ae7ec55b1e79f254453ee6dc2933ad09 100644 (file)
@@ -15,7 +15,7 @@ source [find target/at91sam9g45.cfg]
 # Set reset type.
 # reset_config trst_and_srst
 
 # Set reset type.
 # reset_config trst_and_srst
 
-# adapter_nsrst_delay 200
+# adapter srst delay 200
 # jtag_ntrst_delay 200
 
 
 # jtag_ntrst_delay 200
 
 
@@ -58,7 +58,7 @@ proc at91sam9g45_start { } {
 
        arm7_9 fast_memory_access disable
     # Slow-speed oscillator enabled at reset, so run jtag speed slow.
 
        arm7_9 fast_memory_access disable
     # Slow-speed oscillator enabled at reset, so run jtag speed slow.
-       adapter_khz 4
+       adapter speed 4
     # Make sure processor is halted, or error will result in following steps.
        halt
        wait_halt 10000
     # Make sure processor is halted, or error will result in following steps.
        halt
        wait_halt 10000
@@ -117,7 +117,7 @@ proc at91sam9g45_init { } {
 
        # Switch over to adaptive clocking.
 
 
        # Switch over to adaptive clocking.
 
-       adapter_khz 6000
+       adapter speed 6000
 
        # Enable faster DCC downloads.
 
 
        # Enable faster DCC downloads.
 
index e0ed05794c4a5bd4203d9d92114355cf625e7a3f..ac5a9f3e6bc17c38119e91a6eaa489436f5a6b7e 100644 (file)
@@ -8,7 +8,7 @@ proc imx27lnst_init { } {
        # This setup puts RAM at 0xA0000000
 
        # reset the board correctly
        # This setup puts RAM at 0xA0000000
 
        # reset the board correctly
-       adapter_khz 500
+       adapter speed 500
        reset run
        reset halt
 
        reset run
        reset halt
 
index eada27ab6baa7b62d135cce239da11154609736c..d18afc73a1fc8b4b57bc3dcf313a012c7dfb106f 100644 (file)
@@ -18,7 +18,7 @@ echo "iMX53 M53EVK board lodaded."
 reset_config trst_and_srst separate trst_open_drain srst_open_drain
 
 # Run at 6 MHz
 reset_config trst_and_srst separate trst_open_drain srst_open_drain
 
 # Run at 6 MHz
-adapter_khz 6000
+adapter speed 6000
 
 $_TARGETNAME configure -event "reset-assert" {
        echo "Reseting ...."
 
 $_TARGETNAME configure -event "reset-assert" {
        echo "Reseting ...."
index 06c3993781e93d6eb1481ca1833f179022b87741..57473ead25a624baed1af27debae1b7015b9b2ce 100644 (file)
@@ -13,7 +13,7 @@ echo "iMX53 Loco board lodaded."
 # Set reset type
 #reset_config srst_only
 
 # Set reset type
 #reset_config srst_only
 
-adapter_khz 3000
+adapter speed 3000
 
 # Slow speed to be sure it will work
 jtag_rclk 1000
 
 # Slow speed to be sure it will work
 jtag_rclk 1000
@@ -59,7 +59,7 @@ proc loco_init { } {
        arm core_state arm
 
        jtag_rclk 3000
        arm core_state arm
 
        jtag_rclk 3000
-#      adapter_khz 3000
+#      adapter speed 3000
 }
 
 
 }
 
 
index 25c123e7b84831d8682033acc36b1b71202d69fb..09a7223f080bfd1de9feddd15792b7742127a82b 100644 (file)
@@ -5,4 +5,4 @@
 source [find target/exynos5250.cfg]
 
 # Experimentally determined highest working speed
 source [find target/exynos5250.cfg]
 
 # Experimentally determined highest working speed
-adapter_khz 200
+adapter speed 200
index fb59f931fed3827be587a0e96382cf4d424d7915..06cc1e6c0d69c43694c209cac7b9bbecf1cdb465 100644 (file)
@@ -7,7 +7,7 @@ ftdi_layout_init 0x0008 0x000b
 
 reset_config none
 transport select jtag
 
 reset_config none
 transport select jtag
-adapter_khz 25000
+adapter speed 25000
 
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
 
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
index e032e9b210f70ead6f03c7fd9e8019dfd31adb83..51ea14d4615abccbbfe4f82e61fd7c84b2e3cade 100644 (file)
@@ -5,7 +5,7 @@ source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
 source [find fpga/xilinx-xadc.cfg]
 source [find fpga/xilinx-dna.cfg]
 source [find cpld/jtagspi.cfg]
 source [find fpga/xilinx-xadc.cfg]
 source [find fpga/xilinx-dna.cfg]
-adapter_khz 25000
+adapter speed 25000
 
 # example command to write bitstream, soft-cpu bios and runtime:
 # openocd -f board/kc705.cfg -c "init;\
 
 # example command to write bitstream, soft-cpu bios and runtime:
 # openocd -f board/kc705.cfg -c "init;\
index c8daea65263f2230c0b17d6f9126f4336f244a07..e2b68ca75865bde083fb744681b21106a7e5dd66 100644 (file)
@@ -8,4 +8,4 @@ source [find cpld/xilinx-xcu.cfg]
 
 source [find cpld/jtagspi.cfg]
 
 
 source [find cpld/jtagspi.cfg]
 
-adapter_khz 25000
+adapter speed 25000
index f32b2a321f20db5928e173401b91c449c84f8321..fbb1022fd4da8d60ebf7b89082311bb1e0efd1c4 100644 (file)
@@ -18,7 +18,7 @@ source [find target/imx31.cfg]
 source [find target/imx.cfg]
 
 $_TARGETNAME configure -event reset-init { kindle2_init }
 source [find target/imx.cfg]
 
 $_TARGETNAME configure -event reset-init { kindle2_init }
-$_TARGETNAME configure -event reset-start { adapter_khz 1000 }
+$_TARGETNAME configure -event reset-start { adapter speed 1000 }
 
 # 8MiB NOR Flash
 set _FLASHNAME $_CHIPNAME.flash
 
 # 8MiB NOR Flash
 set _FLASHNAME $_CHIPNAME.flash
@@ -36,7 +36,7 @@ jtag_ntrst_delay 30
 # this is broken but enabled by default
 arm11 memwrite burst disable
 
 # this is broken but enabled by default
 arm11 memwrite burst disable
 
-adapter_khz 1000
+adapter speed 1000
 ftdi_tdo_sample_edge falling
 
 proc kindle2_init {} {
 ftdi_tdo_sample_edge falling
 
 proc kindle2_init {} {
index 298954cc0678f4a32d66f0e0fcb88c1a7c2e7689..d803e6fb245a2864a0c20704a631cd4addcbd06c 100644 (file)
@@ -4,7 +4,7 @@
 
 source [find target/pxa255.cfg]
 
 
 source [find target/pxa255.cfg]
 
-adapter_nsrst_delay 250
+adapter srst delay 250
 jtag_ntrst_delay 250
 
 # NOTE: until after pinmux and such are set up, only CS0 is
 jtag_ntrst_delay 250
 
 # NOTE: until after pinmux and such are set up, only CS0 is
index 681f575cc3abf386a18ee3df7cf9f075b012574c..b1393a914d3f9ae5fba6a7a142d74d0314adc543 100644 (file)
@@ -6,7 +6,7 @@
 
 # Recommended MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
 
 # Recommended MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
-adapter_khz 2000
+adapter speed 2000
 transport select jtag
 
 # Altera MAXII EPM240T100C CPLD
 transport select jtag
 
 # Altera MAXII EPM240T100C CPLD
index d4897c3c33b6fec6be2b7a8a945a35d72d422a91..31819a2f95272120425b85f43166726eb28a88ed 100644 (file)
@@ -6,7 +6,7 @@
 
 # Built-in MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
 
 # Built-in MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
-adapter_khz 2000
+adapter speed 2000
 transport select jtag
 
 # Cyclone III EP3C10E144 FPGA
 transport select jtag
 
 # Cyclone III EP3C10E144 FPGA
index bb3c74f28e0c0a2ebb3c802719ba8c7f492328d4..fa00706d35c3ae652d6fb2cef154d11f0fc093ea 100644 (file)
@@ -6,7 +6,7 @@
 
 # Built-in MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
 
 # Built-in MBFTDI programmer
 source [find interface/ftdi/mbftdi.cfg]
-adapter_khz 2000
+adapter speed 2000
 transport select jtag
 
 # MAX10 10M50SAE144C8GES FPGA
 transport select jtag
 
 # MAX10 10M50SAE144C8GES FPGA
index 068a19b24d5c3c19c25c82e2958e45d5bc9fd12e..4954dab0a1e3f9d90d7b4276b31e7086eac329d9 100644 (file)
@@ -11,7 +11,7 @@ set MCB1700_CCLK $CCLK
 $_TARGETNAME configure -event reset-start {
        # Start *real slow* as we do not know the
     # state the boot rom left the clock in
 $_TARGETNAME configure -event reset-start {
        # Start *real slow* as we do not know the
     # state the boot rom left the clock in
-       adapter_khz 10
+       adapter speed 10
 }
 
 # Set up 100MHz clock to CPU
 }
 
 # Set up 100MHz clock to CPU
@@ -55,7 +55,7 @@ $_TARGETNAME configure -event reset-init {
        #
        # 
        global MCB1700_CCLK
        #
        # 
        global MCB1700_CCLK
-       adapter_khz [expr $MCB1700_CCLK / 8]
+       adapter speed [expr $MCB1700_CCLK / 8]
 
        # Do not remap 0x0000-0x0020 to anything but the flash (i.e. select
        # "User Flash Mode" where interrupt vectors are _not_ remapped,
 
        # Do not remap 0x0000-0x0020 to anything but the flash (i.e. select
        # "User Flash Mode" where interrupt vectors are _not_ remapped,
index 3558a8e71146de8897912e9e25963b8206187dca..2ab61118f3fe3130c5f3a3e92244db9d6d4bdf5a 100644 (file)
@@ -4,7 +4,7 @@
 #
 
 source [find interface/cmsis-dap.cfg]
 #
 
 source [find interface/cmsis-dap.cfg]
-adapter_khz 1000
+adapter speed 1000
 
 set CHIPNAME saml11
 source [find target/atsaml1x.cfg]
 
 set CHIPNAME saml11
 source [find target/atsaml1x.cfg]
index 874f829ab17b1fa54679adec01f5d0831bf8b7c3..9dca5a37c9cafd87d9b6fe1ec03d5878da07a7b1 100644 (file)
@@ -111,7 +111,7 @@ target create $_TARGETNAME arm920t -endian $_ENDIAN -chain-position $_TARGETNAME
 $_TARGETNAME configure -work-area-phys 0x40000000  -work-area-size 0x4000 -work-area-backup 1
 
 #reset configuration
 $_TARGETNAME configure -work-area-phys 0x40000000  -work-area-size 0x4000 -work-area-backup 1
 
 #reset configuration
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 reset_config trst_and_srst
 
 jtag_ntrst_delay 100
 reset_config trst_and_srst
 
@@ -120,7 +120,7 @@ reset_config trst_and_srst
 # IMPORTANT! See README at top of this file.
 #-------------------------------------------------------------------------
 
 # IMPORTANT! See README at top of this file.
 #-------------------------------------------------------------------------
 
-    adapter_khz 12000
+    adapter speed 12000
     jtag interface
 
 #-------------------------------------------------------------------------
     jtag interface
 
 #-------------------------------------------------------------------------
@@ -140,7 +140,7 @@ reset_config trst_and_srst
 
     nand device s3c2440 0
 
 
     nand device s3c2440 0
 
-    adapter_nsrst_delay 100
+    adapter srst delay 100
     jtag_ntrst_delay 100
     reset_config trst_and_srst
     init
     jtag_ntrst_delay 100
     reset_config trst_and_srst
     init
index d00ce1f8c52e4a30e48bcc9e89058d7a133315e4..2cee9393577cde4da82e369c9b7c28c6e5aabd3d 100644 (file)
@@ -88,8 +88,8 @@ proc init_6410_flash {} {
 }
 
 
 }
 
 
-adapter_khz 1000
-adapter_nsrst_delay 100
+adapter speed 1000
+adapter srst delay 100
 jtag_ntrst_delay 100
 reset_config trst_and_srst
 
 jtag_ntrst_delay 100
 reset_config trst_and_srst
 
index a538872d1e0f05ffa889fbb4da2156199ca8aafb..d4012bada735ccb1190d4be8b5537cbe9e380e27 100644 (file)
@@ -30,7 +30,7 @@ ftdi_tdo_sample_edge falling
 #
 ftdi_layout_init 0x0008 0x004b
 reset_config none
 #
 ftdi_layout_init 0x0008 0x004b
 reset_config none
-adapter_khz 30000
+adapter speed 30000
 
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
 
 source [find cpld/xilinx-xc7.cfg]
 source [find cpld/jtagspi.cfg]
index 25b7b87817133534c6df09dd5ca742f2c09f6646..c595e3a679bd3494ae9129fa21877a04330d4f2c 100644 (file)
@@ -3,12 +3,12 @@
 transport select jtag
 
 # set a safe speed, can be overridden
 transport select jtag
 
 # set a safe speed, can be overridden
-adapter_khz 1000
+adapter speed 1000
 
 # reset configuration has TRST and SRST support
 reset_config trst_and_srst srst_push_pull
 # need at least 100ms delay after SRST release for JTAG
 
 # reset configuration has TRST and SRST support
 reset_config trst_and_srst srst_push_pull
 # need at least 100ms delay after SRST release for JTAG
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 # source the target file
 source [find target/imx7.cfg]
 
 # source the target file
 source [find target/imx7.cfg]
index e2d63ce7c5d99eca5a1cddde348ea3d7b52a18fc..dd9bd53ac65c063ff7c42525738ab23363fbdee2 100644 (file)
@@ -6,13 +6,13 @@
 transport select jtag
 
 # set a safe JTAG clock speed, can be overridden
 transport select jtag
 
 # set a safe JTAG clock speed, can be overridden
-adapter_khz 1000
+adapter speed 1000
 
 # default JTAG configuration has only SRST and no TRST
 reset_config srst_only srst_push_pull
 
 # delay after SRST goes inactive
 
 # default JTAG configuration has only SRST and no TRST
 reset_config srst_only srst_push_pull
 
 # delay after SRST goes inactive
-adapter_nsrst_delay 70
+adapter srst delay 70
 
 # board has an i.MX8MQ with 4 Cortex-A53 cores
 set CHIPNAME imx8mq
 
 # board has an i.MX8MQ with 4 Cortex-A53 cores
 set CHIPNAME imx8mq
index 89d2b5a592d78a81e7f855afc7bf1a528c715b33..038fe67b6d25f7a67844bf1f127793f2fe8dfc77 100644 (file)
@@ -2,7 +2,7 @@ source [find target/at91sam7a2.cfg]
 
 # delays needed to get stable reads of cpu state
 jtag_ntrst_delay 10
 
 # delays needed to get stable reads of cpu state
 jtag_ntrst_delay 10
-adapter_nsrst_delay 200
+adapter srst delay 200
 
 # board uses pullup and connects only srst
 reset_config srst_open_drain
 
 # board uses pullup and connects only srst
 reset_config srst_open_drain
@@ -10,9 +10,9 @@ reset_config srst_open_drain
 # srst is connected to NRESET of CPU and fully resets everything...
 reset_config srst_only srst_pulls_trst
 
 # srst is connected to NRESET of CPU and fully resets everything...
 reset_config srst_only srst_pulls_trst
 
-adapter_khz 1
+adapter speed 1
 $_TARGETNAME configure -event reset-start {
 $_TARGETNAME configure -event reset-start {
-       adapter_khz 1
+       adapter speed 1
 }
 
 $_TARGETNAME configure -event reset-init {
 }
 
 $_TARGETNAME configure -event reset-init {
@@ -61,7 +61,7 @@ $_TARGETNAME configure -event reset-init {
        echo "set up pll"
 
        sleep 100
        echo "set up pll"
 
        sleep 100
-       adapter_khz 5000
+       adapter speed 5000
 }
 
 $_TARGETNAME arm7_9 dcc_downloads enable
 }
 
 $_TARGETNAME arm7_9 dcc_downloads enable
index 7659b07494076affbb7d2b41fcf4205e62a9a0cf..696af4e5b91bb362d129c11647a275a1b05defca 100644 (file)
@@ -3,7 +3,7 @@
 source [find interface/ftdi/openrd.cfg]
 source [find target/feroceon.cfg]
 
 source [find interface/ftdi/openrd.cfg]
 source [find target/feroceon.cfg]
 
-adapter_khz 2000
+adapter speed 2000
 
 $_TARGETNAME configure \
        -work-area-phys 0x10000000 \
 
 $_TARGETNAME configure \
        -work-area-phys 0x10000000 \
index c543ebe25e02c21181139a2cf0944fc5899cdf94..7c19565632775aa654ca2497bfebe5bc18ea3942 100644 (file)
@@ -17,7 +17,7 @@ source [find target/or1k.cfg]
 poll_period 1
 
 # Set the adapter speed
 poll_period 1
 
 # Set the adapter speed
-adapter_khz 3000
+adapter speed 3000
 
 # Enable the target description feature
 gdb_target_description enable
 
 # Enable the target description feature
 gdb_target_description enable
index 6a7e8e923b7c442daa74a14dcf0569c8d7352cb3..1c48f5df7072b137b098014ca079d3cd74882469 100644 (file)
@@ -1,8 +1,8 @@
 source [find target/lpc3250.cfg]
 
 source [find target/lpc3250.cfg]
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 1
 jtag_ntrst_delay 1
-adapter_khz 200
+adapter speed 200
 reset_config trst_and_srst separate
 
 arm7_9 dcc_downloads enable
 reset_config trst_and_srst separate
 
 arm7_9 dcc_downloads enable
@@ -11,11 +11,11 @@ $_TARGETNAME configure -event gdb-attach { reset init }
 
 $_TARGETNAME configure -event reset-start {
              arm7_9 fast_memory_access disable
 
 $_TARGETNAME configure -event reset-start {
              arm7_9 fast_memory_access disable
-             adapter_khz 200
+             adapter speed 200
 }
 
 $_TARGETNAME configure -event reset-end {
 }
 
 $_TARGETNAME configure -event reset-end {
-             adapter_khz 6000
+             adapter speed 6000
              arm7_9 fast_memory_access enable
 }
 
              arm7_9 fast_memory_access enable
 }
 
index 49cad5db74d281469803928175b3744f8c5ef3f4..2b44a05411430a6747540b0f78dc7be65eed52c5 100644 (file)
@@ -93,7 +93,7 @@ $_TARGETNAME configure -event reset-init {pxa255_sst_init}
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 #xscale debug_handler 0  0xFFFF0800      ;# debug handler base address
 jtag_ntrst_delay 200
 
 #xscale debug_handler 0  0xFFFF0800      ;# debug handler base address
index 460e8c969e7fd3144ef353c8a8b5fb8e4778013b..8b8314a0ea815f02ad58715a776917fb60c1c4bc 100644 (file)
@@ -10,6 +10,6 @@ ftdi_layout_signal nTRST -data 0x0100 -noe 0x0100
 
 source [find target/quark_d20xx.cfg]
 
 
 source [find target/quark_d20xx.cfg]
 
-adapter_khz 1000
+adapter speed 1000
 
 reset_config trst_only
 
 reset_config trst_only
index 8dc600b804d386215990e7f42cbf3e756e6d7513..4ecf30ed8b5bb2e72b8ee40bf0438c07a525ba30 100644 (file)
@@ -4,6 +4,6 @@
 source [find target/quark_x10xx.cfg]
 
 #default frequency but this can be adjusted at runtime
 source [find target/quark_x10xx.cfg]
 
 #default frequency but this can be adjusted at runtime
-adapter_khz 4000
+adapter speed 4000
 
 reset_config trst_only
 
 reset_config trst_only
index 636a05399d0e7ccaba93993620bfb5ca51aa07dc..cb1733b278d346754f1f6d6bf7f0e621f576497a 100644 (file)
@@ -12,8 +12,8 @@ source [find target/nuc910.cfg]
 #
 reset_config trst_and_srst srst_pulls_trst
 
 #
 reset_config trst_and_srst srst_pulls_trst
 
-adapter_khz 1000
-adapter_nsrst_delay 100
+adapter speed 1000
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 $_TARGETNAME configure -work-area-phys 0x00000000 -work-area-size 0x04000000 -work-area-backup 0
 jtag_ntrst_delay 100
 
 $_TARGETNAME configure -work-area-phys 0x00000000 -work-area-size 0x04000000 -work-area-backup 0
@@ -28,7 +28,7 @@ nand device $_NANDNAME nuc910 $_TARGETNAME
 # Target events
 #
 
 # Target events
 #
 
-$_TARGETNAME configure -event reset-start {adapter_khz 1000}
+$_TARGETNAME configure -event reset-start {adapter speed 1000}
 
 $_TARGETNAME configure -event reset-init {
        # switch on PLL for 200MHz operation
 
 $_TARGETNAME configure -event reset-init {
        # switch on PLL for 200MHz operation
@@ -47,7 +47,7 @@ $_TARGETNAME configure -event reset-init {
        
        arm7_9 dcc_downloads enable
        arm7_9 fast_memory_access enable
        
        arm7_9 dcc_downloads enable
        arm7_9 fast_memory_access enable
-       adapter_khz 15000
+       adapter speed 15000
        
        # map nor flash to 0x20000000
        # map sdram to 0x00000000
        
        # map nor flash to 0x20000000
        # map sdram to 0x00000000
index 0b507ee0e13b69aa8b16150b013d475cd9c9fbd8..009eb78c2a5227bc49640eb732b83425e2a17a99 100644 (file)
@@ -26,7 +26,7 @@ ftdi_layout_init 0x0098 0x008b
 #ftdi_layout_signal nTRST -data 0x0010
 reset_config none
 
 #ftdi_layout_signal nTRST -data 0x0010
 reset_config none
 
-adapter_khz 5000
+adapter speed 5000
 
 transport select jtag
 
 
 transport select jtag
 
index 0d21be37c4010c4459d20c7ddec545cb53e9dbfe..7ccb8841028f1a4f6b386837c40d28868ca7cb8a 100644 (file)
@@ -3,7 +3,7 @@
 source [find interface/ftdi/sheevaplug.cfg]
 source [find target/feroceon.cfg]
 
 source [find interface/ftdi/sheevaplug.cfg]
 source [find target/feroceon.cfg]
 
-adapter_khz 2000
+adapter speed 2000
 
 $_TARGETNAME configure \
        -work-area-phys 0x10000000 \
 
 $_TARGETNAME configure \
        -work-area-phys 0x10000000 \
index ec10b27c3276058db782a327a8a52c1d77745482..b7a255ea252892ca0f3285420b24f63121f5e9b2 100644 (file)
@@ -1,7 +1,7 @@
 #
 # Be sure you include the speed and interface before this file
 # Example:
 #
 # Be sure you include the speed and interface before this file
 # Example:
-# -c "adapter_khz 5000" -f "interface/ftdi/olimex-arm-usb-tiny-h.cfg" -f "board/sifive-e31arty.cfg"
+# -c "adapter speed 5000" -f "interface/ftdi/olimex-arm-usb-tiny-h.cfg" -f "board/sifive-e31arty.cfg"
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001
index ffd83a058d4934a9f8476c8c855fdab4e0e05b8c..20ad575517155b3ea875f244da1f747ffec813bf 100644 (file)
@@ -1,7 +1,7 @@
 #
 # Be sure you include the speed and interface before this file
 # Example:
 #
 # Be sure you include the speed and interface before this file
 # Example:
-# -c "adapter_khz 5000" -f "interface/ftdi/olimex-arm-usb-tiny-h.cfg" -f "board/sifive-e51arty.cfg"
+# -c "adapter speed 5000" -f "interface/ftdi/olimex-arm-usb-tiny-h.cfg" -f "board/sifive-e51arty.cfg"
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x20000001
index 9e62bbd04094c850a42bc97c4dc425a649da0eb1..196f540bbaa04fe799914a595d27b444b8f5aa15 100644 (file)
@@ -1,4 +1,4 @@
-adapter_khz     10000
+adapter speed     10000
 
 adapter driver ftdi
 ftdi_device_desc "Dual RS232-HS"
 
 adapter driver ftdi
 ftdi_device_desc "Dual RS232-HS"
@@ -10,7 +10,7 @@ ftdi_layout_signal nSRST -oe 0x0020 -data 0x0020
 #Reset Stretcher logic on FE310 is ~1 second long
 #This doesn't apply if you use
 # ftdi_set_signal, but still good to document
 #Reset Stretcher logic on FE310 is ~1 second long
 #This doesn't apply if you use
 # ftdi_set_signal, but still good to document
-#adapter_nsrst_delay 1500
+#adapter srst delay 1500
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913
 
 set _CHIPNAME riscv
 jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id 0x10e31913
index 1d3afdf0b341f223186d014b1a847fe907f74d47..05644f6587bcf9bc237eeb5e2e521f2061c37d88 100644 (file)
@@ -10,10 +10,10 @@ source [find target/c100helper.tcl]
 # Telo board & C100 support trst and srst
 # make the reset asserted to
 # allow RC circuit to discharge for: [ms]
 # Telo board & C100 support trst and srst
 # make the reset asserted to
 # allow RC circuit to discharge for: [ms]
-adapter_nsrst_assert_width 100
+adapter srst pulse_width 100
 jtag_ntrst_assert_width 100
 # don't talk to JTAG after reset for: [ms]
 jtag_ntrst_assert_width 100
 # don't talk to JTAG after reset for: [ms]
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 reset_config trst_and_srst separate
 
 jtag_ntrst_delay 100
 reset_config trst_and_srst separate
 
@@ -23,11 +23,11 @@ reset_config trst_and_srst separate
 # issue telnet: reset init
 # issue gdb: monitor reset init
 $_TARGETNAME configure -event reset-init {
 # issue telnet: reset init
 # issue gdb: monitor reset init
 $_TARGETNAME configure -event reset-init {
-       adapter_khz 100
+       adapter speed 100
        # this will setup Telo board
        setupTelo
        #turn up the JTAG speed
        # this will setup Telo board
        setupTelo
        #turn up the JTAG speed
-       adapter_khz 3000
+       adapter speed 3000
        echo "JTAG speek now 3MHz"
        echo "type helpC100 to get help on C100"
 }
        echo "JTAG speek now 3MHz"
        echo "type helpC100 to get help on C100"
 }
index 65e2094e8e8eb6f05c9066bdf26476b55499c07e..fc2b81b29f663c6c9ee6865dfe8ed46fe863c54d 100644 (file)
@@ -4,7 +4,7 @@
 source [find interface/ftdi/xds100v2.cfg]
 
 transport select jtag
 source [find interface/ftdi/xds100v2.cfg]
 
 transport select jtag
-adapter_khz 30000
+adapter speed 30000
 
 source [find target/am437x.cfg]
 $_TARGETNAME configure -event reset-init { init_platform 0x61a11b32 }
 
 source [find target/am437x.cfg]
 $_TARGETNAME configure -event reset-init { init_platform 0x61a11b32 }
index d536314ba1e7eef52b90f66a7198ed0ffb2a43f7..dbc37ae826b306e28f840e429d87551ba447c83f 100644 (file)
@@ -1,6 +1,6 @@
 # Works on both AM437x GP EVM and AM438x ePOS EVM
 transport select jtag
 # Works on both AM437x GP EVM and AM438x ePOS EVM
 transport select jtag
-adapter_khz 16000
+adapter speed 16000
 
 source [find target/am437x.cfg]
 
 
 source [find target/am437x.cfg]
 
index a54ad62752c13d737294e84bdcb295df834b5254..6a6272d2d425c294411f9e60b68cf32eb930ea0c 100644 (file)
@@ -4,7 +4,7 @@
 # The JTAG interface is built directly on the board.
 source [find interface/ftdi/xds100v2.cfg]
 
 # The JTAG interface is built directly on the board.
 source [find interface/ftdi/xds100v2.cfg]
 
-adapter_khz 16000
+adapter speed 16000
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
index 79fc1e8a81d841db7d6526341c15e6456632f09e..c730814cc5eba6b060d8d4449dbb6f42d7bc5c7e 100644 (file)
@@ -1,7 +1,7 @@
 # AM335x Beaglebone Black
 #  http://beagleboard.org/bone
 
 # AM335x Beaglebone Black
 #  http://beagleboard.org/bone
 
-adapter_khz 1000
+adapter speed 1000
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
index 9e1c1ea3732dc2941079d21e91742bcb4bb44abc..d2d0c68fe3bac10ee49a209ac9a984b5d2a9b9b4 100644 (file)
@@ -3,5 +3,5 @@
 #
 source [find interface/xds110.cfg]
 transport select jtag
 #
 source [find interface/xds110.cfg]
 transport select jtag
-adapter_khz 2500
+adapter speed 2500
 source [find target/ti_cc13x0.cfg]
 source [find target/ti_cc13x0.cfg]
index 18c5ce51ca8c60ed89ca839554c3d52478f32fe9..706bb728a229803fd1ab5f3dc47c136a3389e463 100644 (file)
@@ -2,6 +2,6 @@
 # TI CC13x2 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI CC13x2 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select jtag
 source [find target/ti_cc13x2.cfg]
 transport select jtag
 source [find target/ti_cc13x2.cfg]
index 3613a47f7ad33228516709da46083e81f566a5e3..c16fa4c5688b176e8fc9b96b87b1f35954a2e542 100644 (file)
@@ -2,6 +2,6 @@
 # TI CC26x0 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI CC26x0 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select jtag
 source [find target/ti_cc26x0.cfg]
 transport select jtag
 source [find target/ti_cc26x0.cfg]
index 2f2b34b4b2b30189da59a28b0fe3f55f3df460d3..e7941914cf2b454400788a55f5b7ae7f505dc85b 100644 (file)
@@ -2,6 +2,6 @@
 # TI CC26x2 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI CC26x2 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select jtag
 source [find target/ti_cc26x2.cfg]
 transport select jtag
 source [find target/ti_cc26x2.cfg]
index b78b09b7cf1f919412477b986b573fa01066c03e..34f9bffb85549f2e010dccfdacaad2f9aaa571e7 100644 (file)
@@ -12,7 +12,7 @@ if { [info exists TRANSPORT] } {
    transport select jtag
 }
 
    transport select jtag
 }
 
-adapter_khz 2500
+adapter speed 2500
 
 set WORKAREASIZE 0x40000
 source [find target/ti_cc32xx.cfg]
 
 set WORKAREASIZE 0x40000
 source [find target/ti_cc32xx.cfg]
index a3dac620d60b697b1e7a4de9d36036e0d53662bd..30255c793441bfd403d6e6416dedbe47d368831a 100644 (file)
@@ -2,6 +2,6 @@
 # TI CC3220SF-LaunchXL LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI CC3220SF-LaunchXL LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select swd
 source [find target/ti_cc3220sf.cfg]
 transport select swd
 source [find target/ti_cc3220sf.cfg]
index f657bdfdba6aeca7c1a57b6f2bb90a320a287ad2..6676e5d6eade3e08bfb1e172b41d1b6f4bc766a2 100644 (file)
@@ -2,6 +2,6 @@
 # TI CC32xx-LaunchXL LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI CC32xx-LaunchXL LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select swd
 source [find target/ti_cc32xx.cfg]
 transport select swd
 source [find target/ti_cc32xx.cfg]
index bfad32235fc0b630f39bbbd79fe59a44ea04cf77..f7c96eed1129d052a35d70f26c14c17c5e449153 100644 (file)
@@ -2,6 +2,6 @@
 # TI MSP432 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
 # TI MSP432 LaunchPad Evaluation Kit
 #
 source [find interface/xds110.cfg]
-adapter_khz 2500
+adapter speed 2500
 transport select swd
 source [find target/ti_msp432.cfg]
 transport select swd
 source [find target/ti_msp432.cfg]
index 550244429dbc31c8e9a9f1ad9fe0dde452bf811b..6d7350297fef738d1bee42198f29c71b1ae087f4 100644 (file)
@@ -1,4 +1,4 @@
-adapter_khz 1500
+adapter speed 1500
 
 source [find interface/ftdi/xds100v2.cfg]
 source [find target/ti_tms570.cfg]
 
 source [find interface/ftdi/xds100v2.cfg]
 source [find target/ti_tms570.cfg]
index d8b833004d14fa943cb1c79facaf64e34c5ed79e..d0951ce646f3c61df988c035d373ea8062b8f7e2 100644 (file)
@@ -5,7 +5,7 @@
 # board does not feature anything but JTAG
 transport select jtag
 
 # board does not feature anything but JTAG
 transport select jtag
 
-adapter_khz 10000
+adapter speed 10000
 
 # SRST-only reset configuration
 reset_config srst_only srst_push_pull
 
 # SRST-only reset configuration
 reset_config srst_only srst_push_pull
index 90c18c4846cd8922e5a34ff001053893107a6e42..77084a96d600f02c5b03f1633290c505fde56b7e 100644 (file)
@@ -99,7 +99,7 @@ proc topas910_init { } {
        mww 0xf4300004 0x00000000
 
        sleep 10
        mww 0xf4300004 0x00000000
 
        sleep 10
-#      adapter_khz NNNN
+#      adapter speed NNNN
 
 # remap off in case of IROM boot
        mww 0xf0000004 0x00000001
 
 # remap off in case of IROM boot
        mww 0xf0000004 0x00000001
index 2a388d5116f3d7fb99bb5d217ec436683e7dfff6..91ee5847a5d6d14dc83ab1903bb85351907aa12f 100644 (file)
@@ -105,7 +105,7 @@ proc topasa900_init { } {
        mww 0xf4300004 0x00000000
 
        sleep 10
        mww 0xf4300004 0x00000000
 
        sleep 10
-#      adapter_khz NNNN
+#      adapter speed NNNN
 
 # remap off in case of IROM boot
        mww 0xf0000004 0x00000001
 
 # remap off in case of IROM boot
        mww 0xf0000004 0x00000001
index a80407f3853560af5fbb38036d41acf01496bc2d..0d6d3329aab4102616e925f70db1c8ff385b756d 100644 (file)
@@ -198,4 +198,4 @@ proc board_init { } {
 # hook the init function into the reset-init event
 ${_TARGETNAME}0 configure -event reset-init { board_init }
 # set a slow default JTAG clock, can be overridden later
 # hook the init function into the reset-init event
 ${_TARGETNAME}0 configure -event reset-init { board_init }
 # set a slow default JTAG clock, can be overridden later
-adapter_khz 1000
+adapter speed 1000
index 6da98752893a7093ce2e7f413aa6b41b477eea85..dd267fcbea8833250bfab545079cca39371e1fc0 100644 (file)
@@ -8,7 +8,7 @@ source [find target/pxa270.cfg]
 reset_config trst_and_srst separate
 
 # XM4 = 400MHz, XL6P = 600MHz...let's run at 0.1*400MHz=40MHz
 reset_config trst_and_srst separate
 
 # XM4 = 400MHz, XL6P = 600MHz...let's run at 0.1*400MHz=40MHz
-adapter_khz 40000
+adapter speed 40000
 
 # flash bank <driver> <base> <size> <chip_width> <bus_width>
 # XL6P has 32 MB flash
 
 # flash bank <driver> <base> <size> <chip_width> <bus_width>
 # XL6P has 32 MB flash
index 01e37e9a4d9785dcf17c3c0452868991ba5ab38a..01879b128b4a2e91b41eb085942e3849ab7b7677 100644 (file)
@@ -13,7 +13,7 @@
 
 source [find target/samsung_s3c2440.cfg]
 
 
 source [find target/samsung_s3c2440.cfg]
 
-adapter_khz 16000
+adapter speed 16000
 
 # Samsung K9F1208U0C NAND flash chip (64MiB, 3.3V, 8-bit)
 nand device $_CHIPNAME.nand s3c2440 $_TARGETNAME
 
 # Samsung K9F1208U0C NAND flash chip (64MiB, 3.3V, 8-bit)
 nand device $_CHIPNAME.nand s3c2440 $_TARGETNAME
index 57deaa8375f327aebfab1f321d1c5e5040e613fe..e0d1ccf844a3f337ebfb2e5f7ee864c4bed22378 100644 (file)
@@ -72,7 +72,7 @@ $_TARGETNAME configure -event gdb-attach {
 # other things than flash programming.
 $_TARGETNAME configure -work-area-phys 0x00020000 -work-area-size 0x20000 -work-area-backup 0
 
 # other things than flash programming.
 $_TARGETNAME configure -work-area-phys 0x00020000 -work-area-size 0x20000 -work-area-backup 0
 
-adapter_khz 16000
+adapter speed 16000
 
 
 proc production_info {} {
 
 
 proc production_info {} {
index 5fae2f3b38d885b950d22cb29cc180cda131d95c..d1dc736da5ee9eb4c4945c55a71c11034acf3d20 100644 (file)
@@ -6,6 +6,6 @@
 # See calao-usb-a9260-c01.cfg and calao-usb-a9260-c02.cfg.
 #
 
 # See calao-usb-a9260-c01.cfg and calao-usb-a9260-c02.cfg.
 #
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 jtag_ntrst_delay 200
 
index 24e338ff30f0c0c84862e734408e96dc44d7633f..2c705c3353ad8a2e3940f5a39eecc7fdf5b8f757 100644 (file)
@@ -1,2 +1,2 @@
 adapter driver ft232r
 adapter driver ft232r
-adapter_khz 1000
+adapter speed 1000
index 92aebbcfdec4a6fb790d0b37ee720fa03af6c9d7..97a6abe04aa3697d0a61e2369554ac697815ebd9 100644 (file)
@@ -12,4 +12,4 @@ ftdi_layout_init 0x0008 0x000b
 reset_config none
 # this generally works fast: the fpga can handle 30MHz, the spi flash can handle
 # 54MHz with simple read, no dummy cycles, and wait-for-write-completion
 reset_config none
 # this generally works fast: the fpga can handle 30MHz, the spi flash can handle
 # 54MHz with simple read, no dummy cycles, and wait-for-write-completion
-adapter_khz 30000
+adapter speed 30000
index 4e392942a1b67d9abd68511c4002a95a194d4845..2074924a3f9298302d06cf80d53c5cc750567849 100644 (file)
@@ -10,4 +10,4 @@ ftdi_layout_init 0x0008 0x000b
 reset_config none
 # this generally works fast: the fpga can handle 30MHz, the spi flash can handle
 # 54MHz with simple read, no dummy cycles, and wait-for-write-completion
 reset_config none
 # this generally works fast: the fpga can handle 30MHz, the spi flash can handle
 # 54MHz with simple read, no dummy cycles, and wait-for-write-completion
-adapter_khz 10000
+adapter speed 10000
index 8f32c899c800d72b89273db78a236a8c8e448191..3b21025b514536993d48903e174d0b7fde3ac3c1 100644 (file)
@@ -10,6 +10,6 @@ aice serial "C001-42163"
 aice vid_pid 0x1CFC 0x0000
 aice port aice_usb
 reset_config trst_and_srst
 aice vid_pid 0x1CFC 0x0000
 aice port aice_usb
 reset_config trst_and_srst
-adapter_khz 24000
+adapter speed 24000
 aice retry_times 50
 aice count_to_check_dbger 30
 aice retry_times 50
 aice count_to_check_dbger 30
index ecb3f8ae12c70f4185729088b48eefb6f3e56ef9..b7c9d633167528de0fec54f11bc0e8e8171d2051 100644 (file)
@@ -50,9 +50,9 @@ if { [info exists IMEMORY] && [string equal $IMEMORY true] } {
 }
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
 }
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
index fca0a7f969fb9ddc78d9703d8cd4c2a4764bb0d2..9c756be3f8591fca0cbbf5719dcdb118503ac828 100644 (file)
@@ -17,7 +17,7 @@ if { [info exists CPUTAPID] } {
    set _CPUTAPID 0x3f0f0f0f
 }
 
    set _CPUTAPID 0x3f0f0f0f
 }
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 ## JTAG scan chain
 jtag_ntrst_delay 200
 
 ## JTAG scan chain
index ca4bc68deda0e0cad051669c4280913a1073dfde..caee9654eb5bf5a9ccd2630eb14706cad5dbfa87 100755 (executable)
@@ -36,7 +36,7 @@ swj_newdap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPU
 dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 
 # SWD/JTAG speed
 dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 
 # SWD/JTAG speed
-adapter_khz 1000
+adapter speed 1000
 
 ##
 ## Target configuration
 
 ##
 ## Target configuration
@@ -51,6 +51,6 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME aducm360 0x00 0 0 0 $_TARGETNAME
 
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME aducm360 0x00 0 0 0 $_TARGETNAME
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 cortex_m reset_config sysresetreq
 
 cortex_m reset_config sysresetreq
index 32fd1887293ab2842b7d60c0686ad0371a00c9cf..d8d78bdc7fa5e9d70398aa2a4690afec2de76082 100644 (file)
@@ -34,7 +34,7 @@
 # 0220ms       JTAG pins switched to SD mode
 #
 # The time frame of 20ms can be not enough to init and halt the CPU. In this
 # 0220ms       JTAG pins switched to SD mode
 #
 # The time frame of 20ms can be not enough to init and halt the CPU. In this
-# case I would recommend to set: "adapter_khz 15000"
+# case I would recommend to set: "adapter speed 15000"
 # To get more or less precise timings, the board should provide reset pin,
 # or some bench power supply with remote function. In my case I used
 # EEZ H24005 with this command to power on and halt the target:
 # To get more or less precise timings, the board should provide reset pin,
 # or some bench power supply with remote function. In my case I used
 # EEZ H24005 with this command to power on and halt the target:
index 9a83b5ccb0d2c0b19e11c14248345b1698863679..0fc8d6735e0dc1b69b08c099e134e5ce863f6b29 100644 (file)
@@ -36,7 +36,7 @@ jtag newtap $_CHIPNAME.fpga tap -irlen 10 -ircapture 0x01 -irmask 0x3 -expected-
 # core 1  -  0x80112000
 
 # Slow speed to be sure it will work
 # core 1  -  0x80112000
 
 # Slow speed to be sure it will work
-adapter_khz 1000
+adapter speed 1000
 
 set _TARGETNAME1 $_CHIPNAME.cpu.0
 set _TARGETNAME2 $_CHIPNAME.cpu.1
 
 set _TARGETNAME1 $_CHIPNAME.cpu.0
 set _TARGETNAME2 $_CHIPNAME.cpu.1
@@ -46,7 +46,7 @@ dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 target create $_TARGETNAME1 cortex_a -dap $_CHIPNAME.dap \
         -coreid 0 -dbgbase 0x80110000
 
 target create $_TARGETNAME1 cortex_a -dap $_CHIPNAME.dap \
         -coreid 0 -dbgbase 0x80110000
 
-$_TARGETNAME1 configure -event reset-start { adapter_khz 1000 }
+$_TARGETNAME1 configure -event reset-start { adapter speed 1000 }
 $_TARGETNAME1 configure -event reset-assert-post "cycv_dbginit $_TARGETNAME1"
 
 
 $_TARGETNAME1 configure -event reset-assert-post "cycv_dbginit $_TARGETNAME1"
 
 
@@ -54,7 +54,7 @@ $_TARGETNAME1 configure -event reset-assert-post "cycv_dbginit $_TARGETNAME1"
 #target create $_TARGETNAME2 cortex_a -dap $_CHIPNAME.dap \
 #        -coreid 1 -dbgbase 0x80112000
 
 #target create $_TARGETNAME2 cortex_a -dap $_CHIPNAME.dap \
 #        -coreid 1 -dbgbase 0x80112000
 
-#$_TARGETNAME2 configure -event reset-start { adapter_khz 1000 }
+#$_TARGETNAME2 configure -event reset-start { adapter speed 1000 }
 #$_TARGETNAME2 configure -event reset-assert-post "cycv_dbginit $_TARGETNAME2"
 
 proc cycv_dbginit {target} {
 #$_TARGETNAME2 configure -event reset-assert-post "cycv_dbginit $_TARGETNAME2"
 
 proc cycv_dbginit {target} {
index 5c4e3151d3c4b2587f14654d98982b86ccf80329..7098adfa3482b7113cb0893fb7cde5455cb185be 100644 (file)
@@ -45,7 +45,7 @@ if { [info exists CHIPTYPE] } {
 
 # Run the adapter at the fastest acceptable speed with the slowest possible
 # core clock.
 
 # Run the adapter at the fastest acceptable speed with the slowest possible
 # core clock.
-adapter_khz 10
+adapter speed 10
 
 ###############################################################################
 # JTAG setup
 
 ###############################################################################
 # JTAG setup
@@ -157,7 +157,7 @@ $_TARGETNAME configure -work-area-phys 0x40200000 -work-area-size 0x4000
 #  slowest possible core clock (16.8MHz/2 = 8.4MHz). It is OK to speed up
 #  *after* PLL and clock tree setup.
 
 #  slowest possible core clock (16.8MHz/2 = 8.4MHz). It is OK to speed up
 #  *after* PLL and clock tree setup.
 
-$_TARGETNAME configure -event "reset-start" { adapter_khz 10 }
+$_TARGETNAME configure -event "reset-start" { adapter speed 10 }
 
 # Describe the reset assert process for openocd - this is asserted with the
 # ICEPick
 
 # Describe the reset assert process for openocd - this is asserted with the
 # ICEPick
@@ -176,7 +176,7 @@ $_TARGETNAME configure -event reset-assert-post {
 
    global _TARGETNAME
    amdm37x_dbginit $_TARGETNAME
 
    global _TARGETNAME
    amdm37x_dbginit $_TARGETNAME
-   adapter_khz 1000
+   adapter speed 1000
 }
 
 $_TARGETNAME configure -event gdb-attach {
 }
 
 $_TARGETNAME configure -event gdb-attach {
index 196b048685c77df1f77007d00b95faaee33885d6..0c64a96e036838317eb6237bc8cc48f3c2bc7f68 100644 (file)
@@ -1,7 +1,7 @@
 # Atheros AR71xx MIPS 24Kc SoC.
 # tested on PB44 refererence board
 
 # Atheros AR71xx MIPS 24Kc SoC.
 # tested on PB44 refererence board
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst
index e7dec4b3e7ef0e4b4623052f5ff283bbfd85f786..7d01ccdb0c43210dd25c6e6eaae6dbc768038748 100644 (file)
@@ -74,9 +74,9 @@ $_TARGETNAME configure -event gdb-flash-erase-start {
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 
-adapter_khz 500
+adapter speed 500
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
index ff73670403367f0d2161ffd60a7eb38217423777..ebb7eed3eb9d0aae2e283cdaee8cc87357999ba4 100644 (file)
@@ -50,9 +50,9 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 
-adapter_khz 500
+adapter speed 500
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
index 4aee7d08145f7f290ae58467495eb4d708f3a46d..b73babcbb11f04fcaf30f5f3153f76b52450ad0c 100644 (file)
@@ -21,7 +21,7 @@ reset_config srst_gates_jtag
 # Datasheet does not specify SYSCLK to JTAG/SWD clock ratio.
 # Usually used SYSCLK/6 is hell slow, testing shows that debugging can work @ SYSCLK/2
 # but your mileage may vary.
 # Datasheet does not specify SYSCLK to JTAG/SWD clock ratio.
 # Usually used SYSCLK/6 is hell slow, testing shows that debugging can work @ SYSCLK/2
 # but your mileage may vary.
-adapter_khz 50
+adapter speed 50
 
 # System RC oscillator RCSYS starts in 3 cycles
 
 # System RC oscillator RCSYS starts in 3 cycles
-adapter_nsrst_delay 0
+adapter srst delay 0
index bf99fb2fa604c026e84d3943e147bafaf75b072d..e0ea3161731206adcad7601e62172bef4cddb23e 100644 (file)
@@ -24,10 +24,10 @@ reset_config trst_and_srst separate trst_push_pull srst_open_drain
 
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 
 
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 
-adapter_nsrst_delay 300
+adapter srst delay 300
 jtag_ntrst_delay 200
 
 jtag_ntrst_delay 200
 
-adapter_khz 3
+adapter speed 3
 
 ######################
 # Target configuration
 
 ######################
 # Target configuration
index 9ab7409048eb7aada94386feb62375d72874db3e..3e4b7d76b77946adfd723f7ce386ea09a2bdcc68 100644 (file)
@@ -6,15 +6,15 @@ source [find target/at91sam9261.cfg]
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
-adapter_khz 4
+adapter speed 4
 
 
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 scan_chain
 $_TARGETNAME configure -event reset-start {
        # at reset chip runs at 32khz
 jtag_ntrst_delay 200
 
 scan_chain
 $_TARGETNAME configure -event reset-start {
        # at reset chip runs at 32khz
-       adapter_khz 8
+       adapter speed 8
 }
 
 $_TARGETNAME configure -event reset-init {at91sam_init}
 }
 
 $_TARGETNAME configure -event reset-init {at91sam_init}
@@ -46,7 +46,7 @@ proc at91sam_init { } {
        sleep 10                          ;# wait 10 ms
 
        # Now run at anything fast... ie: 10mhz!
        sleep 10                          ;# wait 10 ms
 
        # Now run at anything fast... ie: 10mhz!
-       adapter_khz 10000                    ;# Increase JTAG Speed to 6 MHz
+       adapter speed 10000               ;# Increase JTAG Speed to 6 MHz
 
        mww 0xffffec00 0x0a0a0a0a         ;# SMC_SETUP0 : Setup SMC for Intel NOR Flash JS28F128P30T85 128MBit
        mww 0xffffec04 0x0b0b0b0b         ;# SMC_PULSE0
 
        mww 0xffffec00 0x0a0a0a0a         ;# SMC_SETUP0 : Setup SMC for Intel NOR Flash JS28F128P30T85 128MBit
        mww 0xffffec04 0x0b0b0b0b         ;# SMC_PULSE0
index 3f5e3c6264d3e63834e4abe48ea180d34be0ac58..6e45df20a8c27e190ebd9856114529e1d61babaa 100644 (file)
@@ -12,7 +12,7 @@ source [find target/at91sam9.cfg]
 
 # Set fallback clock to 1/6 of worst-case clock speed (which would be the 32.768 kHz slow clock).
 
 
 # Set fallback clock to 1/6 of worst-case clock speed (which would be the 32.768 kHz slow clock).
 
-adapter_khz 5
+adapter speed 5
 
 # Establish internal SRAM memory work areas that are important to pre-bootstrap loaders, etc.  The
 # AT91SAM9G20 has two SRAM areas, one starting at 0x00200000 and the other starting at 0x00300000.
 
 # Establish internal SRAM memory work areas that are important to pre-bootstrap loaders, etc.  The
 # AT91SAM9G20 has two SRAM areas, one starting at 0x00200000 and the other starting at 0x00300000.
index f0644d1773a66e60ec72d1ee2a064134b8575220..9a396fa139806abee8d6e0d7275a52086724c9b7 100644 (file)
@@ -66,12 +66,12 @@ reset_config srst_gates_jtag
 # This limit is most probably imposed by incorrectly handled SWD WAIT
 # on some SWD adapters.
 
 # This limit is most probably imposed by incorrectly handled SWD WAIT
 # on some SWD adapters.
 
-adapter_khz 400
+adapter speed 400
 
 # Atmel's EDBG (on-board cmsis-dap adapter of Xplained kits) works
 # without problem at maximal clock speed. Atmel recommends
 # adapter speed less than 10 * CPU clock.
 
 # Atmel's EDBG (on-board cmsis-dap adapter of Xplained kits) works
 # without problem at maximal clock speed. Atmel recommends
 # adapter speed less than 10 * CPU clock.
-# adapter_khz 5000
+# adapter speed 5000
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
index bea37ed3c78587f13520e88b0ee290ccc93ada72..6ab238c8815b4af5e55bb3e6ff8741e376912aeb 100644 (file)
@@ -41,12 +41,12 @@ reset_config none srst_pulls_trst
 # For SRST based variant we still need proper timings.
 # For ETH part the reset should be asserted at least for 10ms
 # Since there is no other information let's take 100ms to be sure.
 # For SRST based variant we still need proper timings.
 # For ETH part the reset should be asserted at least for 10ms
 # Since there is no other information let's take 100ms to be sure.
-adapter_nsrst_assert_width 100
+adapter srst pulse_width 100
 
 # according to the SoC documentation it should take at least 5ms from
 # reset end till bootstrap end. In the practice we need 8ms to get JTAG back
 # to live.
 
 # according to the SoC documentation it should take at least 5ms from
 # reset end till bootstrap end. In the practice we need 8ms to get JTAG back
 # to live.
-adapter_nsrst_delay 8
+adapter srst delay 8
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $_CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $_CHIPNAME
index b8f7d0175d004738f3484f61a5bffc7314601b30..07161d572765460b4bbff7b68255a7f98f124167 100644 (file)
@@ -4,10 +4,10 @@
    set _ENDIAN little
 
 # jtag speed
    set _ENDIAN little
 
 # jtag speed
-adapter_khz 4500
+adapter speed 4500
 
 reset_config srst_only
 
 reset_config srst_only
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 #jtag scan chain
 if { [info exists CPUTAPID] } {
 
 #jtag scan chain
 if { [info exists CPUTAPID] } {
@@ -27,7 +27,7 @@ flash bank $_FLASHNAME avr 0 0 0 0 $_TARGETNAME
 
 #to use it, script will be like:
 #init
 
 #to use it, script will be like:
 #init
-#adapter_khz 4500
+#adapter speed 4500
 #reset init
 #verify_ircapture disable
 #
 #reset init
 #verify_ircapture disable
 #
index 2c12a6109e4e0f0aa7170ff7076df6815d8ad977..cda439d77eb82491a09e4c88375f7717842bc70b 100644 (file)
@@ -2,7 +2,7 @@ set _CHIPNAME avr
 set _ENDIAN little
 
 # jtag speed
 set _ENDIAN little
 
 # jtag speed
-adapter_khz 4500
+adapter speed 4500
 
 # avr jtag docs never connect RSTN
 reset_config none
 
 # avr jtag docs never connect RSTN
 reset_config none
index 61949cf5ae9fbd7999e54c66abfba1d87671aa4d..351a2ca2c90b8177628b3a7571ec2e4d01f83fe4 100644 (file)
@@ -63,7 +63,7 @@ reset_config srst_gates_jtag
 # Atmel's EDBG (on-board cmsis-dap adapter of Xplained kits) works
 # without problem at clock speed over 5000 khz. Atmel recommends
 # adapter speed less than 10 * CPU clock.
 # Atmel's EDBG (on-board cmsis-dap adapter of Xplained kits) works
 # without problem at clock speed over 5000 khz. Atmel recommends
 # adapter speed less than 10 * CPU clock.
-adapter_khz 2000
+adapter speed 2000
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
index 43962de31a357d3f07f8ddcedd186c7761557349..4c136ead2114085770d8c59fdd32eb6ae2d71e0c 100644 (file)
@@ -39,7 +39,7 @@ target create $_TARGETNAME cortex_m -endian $_ENDIAN -dap $_CHIPNAME.dap
 
 $_TARGETNAME configure -work-area-phys 0x20400000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
 
 $_TARGETNAME configure -work-area-phys 0x20400000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
-adapter_khz 1800
+adapter speed 1800
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
index f5ee1a4866a21d1e2d22f5e692bb18ce67cfd678..7808127ca345afc5600440b558f0a2df8908d117 100644 (file)
@@ -3,7 +3,7 @@ set _ENDIAN big
 
 set _CPUTAPID 0x21e8203f
 
 
 set _CPUTAPID 0x21e8203f
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst separate
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst separate
index 2540b51afbdb492f78eaed12a62e51c0303a2ee3..a9be5591355bcc256b07cd7472d6aad7ccceb80e 100644 (file)
@@ -1,7 +1,7 @@
 set _CHIPNAME bcm6348
 set _CPUID 0x0634817f
 
 set _CHIPNAME bcm6348
 set _CPUID 0x0634817f
 
-adapter_khz 1000
+adapter speed 1000
 
 jtag newtap $_CHIPNAME cpu -irlen 5 -ircapture 0x1 -irmask 0x1f -expected-id $_CPUID
 
 
 jtag newtap $_CHIPNAME cpu -irlen 5 -ircapture 0x1 -irmask 0x1f -expected-id $_CPUID
 
index b0dd61ae903d241af200dfc968ac9c14c54c83b3..109db1708085a5309d886aa26d8c9c2b5fb9ce22 100644 (file)
@@ -20,7 +20,7 @@ if { [info exists WORKAREASIZE] } {
    set _WORKAREASIZE 0x5F00
 }
 
    set _WORKAREASIZE 0x5F00
 }
 
-adapter_khz 4000
+adapter speed 4000
 
 if { [info exists CPUTAPID] } {
    set _CPUTAPID $CPUTAPID
 
 if { [info exists CPUTAPID] } {
    set _CPUTAPID $CPUTAPID
index 1eaa8fe8a640cb5f07fb9f0d3b8cf7852ce2f54b..5b4354e909147f4709522379b066aaa79880a5d1 100644 (file)
@@ -3,7 +3,7 @@
 # this script only configures one core (that is used to run Linux)
 
 # assume no PLL lock, start slowly
 # this script only configures one core (that is used to run Linux)
 
 # assume no PLL lock, start slowly
-adapter_khz 100
+adapter speed 100
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
index c9124cbc637c21f4586cd141095ccc9f6caa0212..9da3730b4ae0396c58cab45d821e47809c507199 100644 (file)
@@ -491,7 +491,7 @@ proc reboot {} {
     mww $TIMER_WDT_HIGH_BOUND  0xffffff
     mww $TIMER_WDT_CURRENT_COUNT 0x0
     echo "JTAG speed lowered to 100kHz"
     mww $TIMER_WDT_HIGH_BOUND  0xffffff
     mww $TIMER_WDT_CURRENT_COUNT 0x0
     echo "JTAG speed lowered to 100kHz"
-    adapter_khz 100
+    adapter speed 100
     mww $TIMER_WDT_CONTROL 0x1
     # wait until the reset
     echo -n "Wating for watchdog to trigger..."
     mww $TIMER_WDT_CONTROL 0x1
     # wait until the reset
     echo -n "Wating for watchdog to trigger..."
index 63fd9c267c14dc71c44599d900f5e8f7cfd539e2..8d232f41f4fc835c80b246d276883e21c195da69 100755 (executable)
@@ -1,7 +1,7 @@
 # Config for Texas Instruments low power RF SoC CC2538
 # http://www.ti.com/lit/pdf/swru319
 
 # Config for Texas Instruments low power RF SoC CC2538
 # http://www.ti.com/lit/pdf/swru319
 
-adapter_khz 100
+adapter speed 100
 
 source [find target/icepick.cfg]
 source [find target/ti-cjtag.cfg]
 
 source [find target/icepick.cfg]
 source [find target/ti-cjtag.cfg]
index 750fd6437cb46de0bc9a329ff3317ed65de5c095..1277cca9c94c0910054d4b19a4e23cf3f32f1a25 100644 (file)
@@ -26,6 +26,6 @@ set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME dragonite -endian $_ENDIAN -chain-position $_TARGETNAME
 
 reset_config trst_and_srst
 target create $_TARGETNAME dragonite -endian $_ENDIAN -chain-position $_TARGETNAME
 
 reset_config trst_and_srst
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 jtag_ntrst_delay 200
 
index 6f3222373f36e04368fd721b367521d753f5305a..0ac0ce87c83bf0a16971ef65921510185cbe6f1d 100644 (file)
@@ -21,7 +21,7 @@ if { [info exists CPUTAPID] } {
 }
 
 #jtag speed
 }
 
 #jtag speed
-adapter_khz 4500
+adapter speed 4500
 
 #has only srst
 reset_config srst_only
 
 #has only srst
 reset_config srst_only
index 0c491fa959cc03eb9edc9061714ead73593ebb6f..98110c298b6051d42747f17dde91d8adb9464bb0 100644 (file)
@@ -20,7 +20,7 @@ if { [info exists CPUTAPID] } {
 }
 
 #jtag speed
 }
 
 #jtag speed
-adapter_khz 800
+adapter speed 800
 
 reset_config srst_only
 
 
 reset_config srst_only
 
index 01194d0028ad2f18965dcf0c10d282b3138dcfcd..010d06f9d5a8245a025a5ddb7c6b293fb6b7244f 100644 (file)
@@ -20,7 +20,7 @@ if { [info exists CPUTAPID] } {
 }
 
 #jtag speed
 }
 
 #jtag speed
-adapter_khz 800
+adapter speed 800
 
 reset_config srst_only
 
 
 reset_config srst_only
 
index e22ce5cba948313d20bbaf091394d5a647221ef1..c789efc723a0c6a056aad8bba76e2207500e531e 100644 (file)
@@ -34,7 +34,7 @@ if { [info exists CPUTAPID] } {
 swj_newdap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 
 swj_newdap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 
-adapter_khz 1000
+adapter speed 1000
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -dap $_CHIPNAME.dap
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -dap $_CHIPNAME.dap
index f186d37dc680410482dc905150c3449472cf71dd..252bbab11dd36713cc436cef56810913117a6f3f 100644 (file)
@@ -20,7 +20,7 @@ if { [info exists CPUTAPID] } {
 }
 
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 }
 
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 set _TARGETNAME $_CHIPNAME.cpu
 jtag_ntrst_delay 100
 
 set _TARGETNAME $_CHIPNAME.cpu
index d32af39bd2154f2d06f8c27406acbea555db2ccc..6be84ab07c0dce7447bbab243548104345c162ad 100644 (file)
@@ -26,7 +26,7 @@ if { [info exists CACHEARCH] } {
     $_TARGETNAME esirisc cache_arch $CACHEARCH
 }
 
     $_TARGETNAME esirisc cache_arch $CACHEARCH
 }
 
-adapter_khz 2000
+adapter speed 2000
 
 reset_config none
 
 
 reset_config none
 
index 389576e55c7e0fd058cf0986c1c390aad45043f3..b9344268db46a5dc430316cb0a390ffc463989ab 100644 (file)
@@ -26,6 +26,6 @@ set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME feroceon -endian $_ENDIAN -chain-position $_TARGETNAME
 
 reset_config trst_and_srst
 target create $_TARGETNAME feroceon -endian $_ENDIAN -chain-position $_TARGETNAME
 
 reset_config trst_and_srst
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 jtag_ntrst_delay 200
 
index a0610ce17724e5d7c924757c16519776c3ef6276..376320e832b3a430f0b7cfb96798d34dd67afbd4 100644 (file)
@@ -22,7 +22,7 @@ if { [info exists CPUTAPID] } {
 }
 
 # delays on reset lines
 }
 
 # delays on reset lines
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
@@ -45,7 +45,7 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME fm3 0 0 0 0 $_TARGETNAME
 
 # 4MHz / 6 = 666kHz, so use 500
 flash bank $_FLASHNAME fm3 0 0 0 0 $_TARGETNAME
 
 # 4MHz / 6 = 666kHz, so use 500
-adapter_khz 500
+adapter speed 500
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
 
 if {![using_hla]} {
    # if srst is not fitted use SYSRESETREQ to
index b79634d965f10ef73b4062c14d0d28a8de8b43f3..bfe7115ca7192dc90ce7b04131cda4c142fd38b5 100644 (file)
@@ -24,7 +24,7 @@ dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -endian little -dap $_CHIPNAME.dap
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -endian little -dap $_CHIPNAME.dap
 
-adapter_khz 500
+adapter speed 500
 
 if {![using_hla]} {
        cortex_m reset_config sysresetreq
 
 if {![using_hla]} {
        cortex_m reset_config sysresetreq
index feb7554b7924c6fc27edc441fd6ff2d2b8a71eaf..df42c44854541e2d83d2fbab4f9aba1f4f6c1dd4 100644 (file)
@@ -33,11 +33,11 @@ $_TARGETNAME configure -work-area-phys 0xf8000000 -work-area-size 0x8000 -work-a
 reset_config trst_and_srst srst_pulls_trst
 # This delay is needed otherwise communication with the target would
 # be unreliable
 reset_config trst_and_srst srst_pulls_trst
 # This delay is needed otherwise communication with the target would
 # be unreliable
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 # Set the adapter speed ridiculously low just in case we are
 # running off of a 32kHz clock
 
 # Set the adapter speed ridiculously low just in case we are
 # running off of a 32kHz clock
-adapter_khz 2
+adapter speed 2
 
 proc gp32xxxa_halt_and_reset_control_registers {} {
        # System control registers
 
 proc gp32xxxa_halt_and_reset_control_registers {} {
        # System control registers
@@ -57,7 +57,7 @@ proc gp32xxxa_halt_and_reset_control_registers {} {
 
        # Set the adapter speed ridiculously low just in case we are
        # running off of a 32kHz clock
 
        # Set the adapter speed ridiculously low just in case we are
        # running off of a 32kHz clock
-       adapter_khz 2
+       adapter speed 2
 
        # Disable any advanced features at this stage
        arm7_9 dcc_downloads disable
 
        # Disable any advanced features at this stage
        arm7_9 dcc_downloads disable
@@ -86,7 +86,7 @@ proc gp32xxxa_halt_and_reset_control_registers {} {
 
        # Now that we know that we are running at 48Mhz
        # Increase JTAG speed and enable speed optimization features
 
        # Now that we know that we are running at 48Mhz
        # Increase JTAG speed and enable speed optimization features
-       adapter_khz 5000
+       adapter speed 5000
        arm7_9 dcc_downloads enable
        arm7_9 fast_memory_access enable
 }
        arm7_9 dcc_downloads enable
        arm7_9 fast_memory_access enable
 }
index 4cc3950be8693125a456316daac05b42a08302d6..1fea3fa37245b858b047b75510e98b9f62201f2e 100644 (file)
@@ -4,7 +4,7 @@
 reset_config trst_and_srst
 
 #jtag nTRST and nSRST delay
 reset_config trst_and_srst
 
 #jtag nTRST and nSRST delay
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 if { [info exists CHIPNAME] } {
 jtag_ntrst_delay 100
 
 if { [info exists CHIPNAME] } {
index ca639515f1badc6d60e1301772a7302af5487503..d850657dae8cc296f1a9ab829bf9a69c2ba7d6ef 100644 (file)
@@ -3,7 +3,7 @@
 
 reset_config trst_and_srst srst_gates_jtag
 
 
 reset_config trst_and_srst srst_gates_jtag
 
-adapter_nsrst_delay 5
+adapter srst delay 5
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
index f359346d7aa02d55d62d8939b8bf847c71f78efb..29453346a45b0f509ee703e67dc5e6166259518a 100644 (file)
@@ -75,7 +75,7 @@ proc imx6_dbginit {target} {
 }
 
 # Slow speed to be sure it will work
 }
 
 # Slow speed to be sure it will work
-adapter_khz 1000
-$_TARGETNAME configure -event reset-start { adapter_khz 1000 }
+adapter speed 1000
+$_TARGETNAME configure -event reset-start { adapter speed 1000 }
 
 $_TARGETNAME configure -event reset-assert-post "imx6_dbginit $_TARGETNAME"
 
 $_TARGETNAME configure -event reset-assert-post "imx6_dbginit $_TARGETNAME"
index 31f1aa1aa0b66f6d5c01056e1a242568e36f2608..1a06b091f1f07e07ed82d0e96f739fee4c779217 100644 (file)
@@ -23,7 +23,7 @@ if { [info exists CPUTAPID] } {
 }
 
 # jtag speed. We need to stick to 16kHz until we've finished reset.
 }
 
 # jtag speed. We need to stick to 16kHz until we've finished reset.
-adapter_khz 16
+adapter speed 16
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
@@ -38,9 +38,9 @@ jtag newtap $_CHIPNAME unknown2 -irlen 5 -ircapture 1 -irmask 1
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm966e -endian $_ENDIAN -chain-position $_TARGETNAME
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm966e -endian $_ENDIAN -chain-position $_TARGETNAME
 
-$_TARGETNAME configure -event reset-start { adapter_khz 16 }
+$_TARGETNAME configure -event reset-start { adapter speed 16 }
 $_TARGETNAME configure -event reset-init {
        # We can increase speed now that we know the target is halted.
 $_TARGETNAME configure -event reset-init {
        # We can increase speed now that we know the target is halted.
-       adapter_khz 3000
+       adapter speed 3000
 }
 $_TARGETNAME configure -work-area-phys 0x50000000 -work-area-size 16384 -work-area-backup 1
 }
 $_TARGETNAME configure -work-area-phys 0x50000000 -work-area-size 16384 -work-area-backup 1
index 1a8402106428a1d21373b66b6405363db451ecbe..926f3c726e6463a7ccf9d3af36c228921ff98b83 100755 (executable)
@@ -40,9 +40,9 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 
 flash bank $_CHIPNAME.flash niietcm4 0 0 0 0 $_TARGETNAME
 
 
 flash bank $_CHIPNAME.flash niietcm4 0 0 0 0 $_TARGETNAME
 
-adapter_khz 2000
+adapter speed 2000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
index 8239400d07188690389fd3e6bf1363dbd2b2e652..b92721f4c5734d677719d6cd9fd2a30ca45785ac 100644 (file)
@@ -35,7 +35,7 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME kinetis_ke 0 0 0 0 $_TARGETNAME
 
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME kinetis_ke 0 0 0 0 $_TARGETNAME
 
-adapter_khz 1000
+adapter speed 1000
 
 reset_config srst_nogate
 
 
 reset_config srst_nogate
 
index 5d9286a699e20e95ca9e270fa103ff380d67203f..36b6ed5962cca1a5a441c6a4f3255e656db15d2f 100644 (file)
@@ -40,7 +40,7 @@ kinetis create_banks
 # Table 5-1. Clock Summary of KL25 Sub-Family Reference Manual
 # specifies up to 1MHz for VLPR mode and up to 24MHz for run mode;
 # Table 17 of Sub-Family Data Sheet rev4 lists 25MHz as the maximum frequency.
 # Table 5-1. Clock Summary of KL25 Sub-Family Reference Manual
 # specifies up to 1MHz for VLPR mode and up to 24MHz for run mode;
 # Table 17 of Sub-Family Data Sheet rev4 lists 25MHz as the maximum frequency.
-adapter_khz 1000
+adapter speed 1000
 
 reset_config srst_nogate
 
 
 reset_config srst_nogate
 
index 0f6829c9101af0a69236a339380a4f37733fa3eb..78cc402b49e983b5fdf511515a8f765adbdf4e5e 100644 (file)
@@ -18,7 +18,7 @@ if { [info exists CPUTAPID] } {
    set  _CPUTAPID 0x00922f0f
 }
 
    set  _CPUTAPID 0x00922f0f
 }
 
-adapter_khz 6000
+adapter speed 6000
 
 # jtag scan chain
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
 
 # jtag scan chain
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CPUTAPID
index 73ee62a79792b25e7c5f021b89f43902f5da7613..0ff5b0c5344348d226681ed7016935a3fdd24ef0 100644 (file)
@@ -41,7 +41,7 @@ set _FLASHNAME $_CHIPNAME.pflash
 flash bank $_FLASHNAME kinetis 0 0 0 0 $_TARGETNAME
 kinetis create_banks
 
 flash bank $_FLASHNAME kinetis 0 0 0 0 $_TARGETNAME
 kinetis create_banks
 
-adapter_khz 1000
+adapter speed 1000
 
 reset_config srst_nogate
 
 
 reset_config srst_nogate
 
index 925a0498d660750486c923c062ad62b730b03b56..481dc8aaf061453aacaa93cdc9db79f3d097b06b 100644 (file)
@@ -1,6 +1,6 @@
 source [find target/swj-dp.tcl]
 
 source [find target/swj-dp.tcl]
 
-adapter_khz 500
+adapter speed 500
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
index 1969e464f692b754d1fd3f859e54b1bc02653aa1..946d1ce166edd6b7d295d57a956b0d266fa38f88 100644 (file)
@@ -145,10 +145,10 @@ if { $_CHIPSERIES == "lpc800" || $_CHIPSERIES == "lpc1100" || $_CHIPSERIES == "l
 # Run with *real slow* clock by default since the
 # boot rom could have been playing with the PLL, so
 # we have no idea what clock the target is running at.
 # Run with *real slow* clock by default since the
 # boot rom could have been playing with the PLL, so
 # we have no idea what clock the target is running at.
-adapter_khz 10
+adapter speed 10
 
 # delays on reset lines
 
 # delays on reset lines
-adapter_nsrst_delay 200
+adapter srst delay 200
 if {[using_jtag]} {
  jtag_ntrst_delay 200
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 200
 }
index 11f1c48bc15d0add63d19dc524b0dcd300de12ef..4c3394c95104d0ee6bf153e859764b3dfbc3c69c 100644 (file)
@@ -13,10 +13,10 @@ proc setup_lpc2xxx {chip_name cputapids flash_size flash_variant workarea_size c
        reset_config trst_and_srst
 
        # reset delays
        reset_config trst_and_srst
 
        # reset delays
-       adapter_nsrst_delay 100
+       adapter srst delay 100
        jtag_ntrst_delay 100
 
        jtag_ntrst_delay 100
 
-       adapter_khz $adapter_freq_khz
+       adapter speed $adapter_freq_khz
 
        foreach i $cputapids {
                append expected_ids "-expected-id " $i " "
 
        foreach i $cputapids {
                append expected_ids "-expected-id " $i " "
index 27c1f67578ff5dc56138f85214915935c34c8afb..185c0aad828e7b6d2d5f28450d21014303c781cb 100644 (file)
@@ -52,7 +52,7 @@ dict set lpc313x wdt                  0x13002400
 # Target configuration
 ##################################################################
 
 # Target configuration
 ##################################################################
 
-adapter_nsrst_delay 1000
+adapter srst delay 1000
 jtag_ntrst_delay 0
 
 set _TARGETNAME $_CHIPNAME.cpu
 jtag_ntrst_delay 0
 
 set _TARGETNAME $_CHIPNAME.cpu
index 2b728840ebe31417eff35d306efad04a42dfb5ed..0c6d0ffdf0acb915a5e160ba0ab4251db7688882 100644 (file)
@@ -1,6 +1,6 @@
 source [find target/swj-dp.tcl]
 
 source [find target/swj-dp.tcl]
 
-adapter_khz 500
+adapter speed 500
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
index 1374ef275f6f58fb591828a2a9780f06efb1d9e0..9db2b9e92581f6511239d8da61f41960ff93d115 100644 (file)
@@ -2,7 +2,7 @@
 # NXP LPC4370 - 1x ARM Cortex-M4 + 2x ARM Cortex-M0 @ up to 204 MHz each
 #
 
 # NXP LPC4370 - 1x ARM Cortex-M4 + 2x ARM Cortex-M0 @ up to 204 MHz each
 #
 
-adapter_khz 500
+adapter speed 500
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
index b9332909a962b04e8080d6c59f45047492daa9a9..1bc77b20d1af1860df0f7cb4045bc61ce5dec928 100644 (file)
@@ -22,7 +22,7 @@ if {![using_hla]} {
        # If srst is not fitted use SYSRESETREQ to  perform a soft reset
        cortex_m reset_config sysresetreq
 }
        # If srst is not fitted use SYSRESETREQ to  perform a soft reset
        cortex_m reset_config sysresetreq
 }
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 $_TARGETNAME configure -work-area-phys 0x10000000 -work-area-size 0x1ff0 -work-area-backup 0
 
 
 $_TARGETNAME configure -work-area-phys 0x10000000 -work-area-size 0x1ff0 -work-area-backup 0
 
index 9a9e684d73dd9030876c20352d4a2726cb4be9e5..19d3e58389837a46bd963d20944a85cc9da90a08 100644 (file)
@@ -32,4 +32,4 @@ target create $_TARGETNAME aarch64 -dap $_CHIPNAME.dap -dbgbase 0x80410000 -cti
 
 target smp $_TARGETNAME
 
 
 target smp $_TARGETNAME
 
-adapter_khz 2000
+adapter speed 2000
index 80cb25a475cb8d8feaf8997a748d45de7e0976e0..6187bb9968e619e0d3891852cad27925a693f153 100644 (file)
@@ -2,7 +2,7 @@
 # www.maximintegrated.com
 
 # adapter speed
 # www.maximintegrated.com
 
 # adapter speed
-adapter_khz 4000
+adapter speed 4000
 
 # reset pin configuration
 reset_config srst_only
 
 # reset pin configuration
 reset_config srst_only
index 7182b235fb56ba60695b8a5c7063e31e7bfa1e58..159b360947439ec713de46cbea47ef0df0834d98 100644 (file)
@@ -2,7 +2,7 @@
 # www.maximintegrated.com
 
 # adapter speed
 # www.maximintegrated.com
 
 # adapter speed
-adapter_khz 4000
+adapter speed 4000
 
 # reset pin configuration
 reset_config srst_only
 
 # reset pin configuration
 reset_config srst_only
index f23b0b64da6d681166f8f5835d43d8c138e23872..fc7d11f5cae98a6f077bf55aeb47a2e69272aecd 100644 (file)
@@ -2,7 +2,7 @@
 # www.maximintegrated.com
 
 # adapter speed
 # www.maximintegrated.com
 
 # adapter speed
-adapter_khz 4000
+adapter speed 4000
 
 # reset pin configuration
 reset_config srst_only
 
 # reset pin configuration
 reset_config srst_only
index 27ac8c3b29b3a0acf9e256b8340878076dfadbb8..f756dd96348c08794b7cfbf58e72603cf920b5a3 100644 (file)
@@ -35,8 +35,8 @@ reset_config srst_only
 jtag_ntrst_delay 200
 
 # rclk hasn't been working well. This maybe the mc13224v or something else.
 jtag_ntrst_delay 200
 
 # rclk hasn't been working well. This maybe the mc13224v or something else.
-#adapter_khz 2000
-adapter_khz 2000
+#adapter speed 2000
+adapter speed 2000
 
 ######################
 # Target configuration
 
 ######################
 # Target configuration
index 67481024c56e4a2839fefd14278941b5e0b3e6d6..820d2dd45d67d897ca0db4b3ac010c9b8fca2389 100644 (file)
@@ -49,9 +49,9 @@ if { [info exists IMEMORY] && [string equal $IMEMORY true] } {
 }
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
 }
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
    jtag_ntrst_delay 100
 }
index 4f2402033b4896c050737a3f189b102f2a8fcd9c..d51a50e23152bdb352136fa13a87b19482c220a2 100644 (file)
@@ -50,7 +50,7 @@ flash bank $_CHIPNAME.uicr nrf51 0x10001000 0 1 1 $_TARGETNAME
 #  The chip should start up from internal 16Mhz RC, so setting adapter
 #  clock to 1Mhz should be OK
 #
 #  The chip should start up from internal 16Mhz RC, so setting adapter
 #  clock to 1Mhz should be OK
 #
-adapter_khz 1000
+adapter speed 1000
 
 proc enable_all_ram {} {
        # nRF51822 Product Anomaly Notice (PAN) #16 explains that not all RAM banks
 
 proc enable_all_ram {} {
        # nRF51822 Product Anomaly Notice (PAN) #16 explains that not all RAM banks
index c29adbdd632c18fef594db04c5891b6e04a3db19..00901bf8acf33c751724079c885cc392e64cb355 100644 (file)
@@ -30,7 +30,7 @@ dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -dap $_CHIPNAME.dap
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME cortex_m -dap $_CHIPNAME.dap
 
-adapter_khz 1000
+adapter speed 1000
 
 $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
 
 $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
index c42dfbc2f1a7ee1eb5a473ce3d417a3ac3d17547..73022df4766836dc43d7344e35f2292c5c00ffaf 100644 (file)
@@ -48,7 +48,7 @@ set _FLASHNAME $_CHIPNAME.flash_config
 flash bank $_FLASHNAME numicro 0x00300000 0 0 0 $_TARGETNAME
 
 # set default SWCLK frequency
 flash bank $_FLASHNAME numicro 0x00300000 0 0 0 $_TARGETNAME
 
 # set default SWCLK frequency
-adapter_khz 1000
+adapter speed 1000
 
 # set default srst setting "none"
 reset_config none
 
 # set default srst setting "none"
 reset_config none
index 078d7f24db97b3a431e8a7d8ba8b226bca019d3a..dcf7c513958617cbabfd3859abeddfce218c3332 100644 (file)
@@ -63,8 +63,8 @@ proc omap3_dbginit {target} {
 # be absolutely certain the JTAG clock will work with the worst-case
 # 16.8MHz/2 = 8.4MHz core clock, even before a bootloader kicks in.
 # OK to speed up *after* PLL and clock tree setup.
 # be absolutely certain the JTAG clock will work with the worst-case
 # 16.8MHz/2 = 8.4MHz core clock, even before a bootloader kicks in.
 # OK to speed up *after* PLL and clock tree setup.
-adapter_khz 1000
-$_TARGETNAME configure -event "reset-start" { adapter_khz 1000 }
+adapter speed 1000
+$_TARGETNAME configure -event "reset-start" { adapter speed 1000 }
 
 # Assume SRST is unavailable (e.g. TI-14 JTAG), so we must assert reset
 # ourselves using PRM_RSTCTRL.  RST_GS (2) is a warm reset, like ICEpick
 
 # Assume SRST is unavailable (e.g. TI-14 JTAG), so we must assert reset
 # ourselves using PRM_RSTCTRL.  RST_GS (2) is a warm reset, like ICEpick
index c4ff40e233f79d8299b624f59dfc815c77d9c7a7..2f9338bc37aa10ebb04e8e25a568b26a6be9b78f 100644 (file)
@@ -14,7 +14,7 @@ if { [info exists CPUTAPID] } {
    set _CPUTAPID 0x0692602f
 }
 
    set _CPUTAPID 0x0692602f
 }
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 # NOTE: presumes irlen 38 is the C55x DSP, matching BSDL for
 # its standalone siblings (like TMS320VC5502) of the same era
 
 # NOTE: presumes irlen 38 is the C55x DSP, matching BSDL for
 # its standalone siblings (like TMS320VC5502) of the same era
index fd9ff4c2e7d061ab5257b24662b9120b3be3fb6e..30cf23c9ec58e1663642498b30a21b902f129927 100644 (file)
@@ -52,8 +52,8 @@ $_TARGETNAME configure -work-area-phys 0x80000000 -work-area-size 0x2000
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 20 MHz (best case: 30 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 20 MHz (best case: 30 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
-adapter_khz 1500
-$_TARGETNAME configure -event "reset-start" { adapter_khz 1500 }
+adapter speed 1500
+$_TARGETNAME configure -event "reset-start" { adapter speed 1500 }
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
index d53b99a587cf82e3240ec7611e5ca8e52c749c4b..51a6bbddb21a6c8aecf741253ccad4c85e5c1867 100644 (file)
@@ -23,7 +23,7 @@ if { [info exists WORKAREASIZE] } {
    set _WORKAREASIZE 0x4000
 }
 
    set _WORKAREASIZE 0x4000
 }
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 #jtag scan chain
 jtag_ntrst_delay 100
 
 #jtag scan chain
index 544e109873c034f7ddf9335b47ff3c63e456f1ed..b56828207984037576c628afc5bc1be010f8ec97 100644 (file)
@@ -36,7 +36,7 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME psoc4 0 0 0 0 $_TARGETNAME
 
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME psoc4 0 0 0 0 $_TARGETNAME
 
-adapter_khz 1500
+adapter speed 1500
 
 # Reset, bloody PSoC 4 reset
 #
 
 # Reset, bloody PSoC 4 reset
 #
@@ -118,7 +118,7 @@ proc ocd_process_reset_inner { MODE } {
        }
 
        if { ! [info exists PSOC4_USE_ACQUIRE] } {
        }
 
        if { ! [info exists PSOC4_USE_ACQUIRE] } {
-               if { 0 == [string compare [adapter_name] kitprog ] } {
+               if { 0 == [string compare [adapter name] kitprog ] } {
                        set PSOC4_USE_ACQUIRE 1
                } else {
                        set PSOC4_USE_ACQUIRE 0
                        set PSOC4_USE_ACQUIRE 1
                } else {
                        set PSOC4_USE_ACQUIRE 0
@@ -138,7 +138,7 @@ proc ocd_process_reset_inner { MODE } {
        $t invoke-event reset-assert-pre
 
        if { $halt && $PSOC4_USE_ACQUIRE } {
        $t invoke-event reset-assert-pre
 
        if { $halt && $PSOC4_USE_ACQUIRE } {
-               catch { [adapter_name] acquire_psoc }
+               catch { [adapter name] acquire_psoc }
                $t arp_examine
        } else {
                if { $PSOC4_TEST_MODE_WORKAROUND } {
                $t arp_examine
        } else {
                if { $PSOC4_TEST_MODE_WORKAROUND } {
index fc0c71159b66476be0dab6638015a76481fed878..51d032b17c7363130b2f018cd84f52ccf3e3a0db 100644 (file)
@@ -6,7 +6,7 @@
 
 source [find target/swj-dp.tcl]
 
 
 source [find target/swj-dp.tcl]
 
-adapter_khz 1000
+adapter speed 1000
 
 global _CHIPNAME
 if { [info exists CHIPNAME] } {
 
 global _CHIPNAME
 if { [info exists CHIPNAME] } {
index 4b222de081e56d9b21b2f7354b388972f86633d8..73518bf7e4af5b4bb58522fa85c48034214042e7 100644 (file)
@@ -28,8 +28,8 @@ target create $_TARGETNAME xscale -endian $_ENDIAN \
 # PXA255 comes out of reset using 3.6864 MHz oscillator.
 # Until the PLL kicks in, keep the JTAG clock slow enough
 # that we get no errors.
 # PXA255 comes out of reset using 3.6864 MHz oscillator.
 # Until the PLL kicks in, keep the JTAG clock slow enough
 # that we get no errors.
-adapter_khz 300
-$_TARGETNAME configure -event "reset-start" { adapter_khz 300 }
+adapter speed 300
+$_TARGETNAME configure -event "reset-start" { adapter speed 300 }
 
 # both TRST and SRST are *required* for debug
 # DCSR is often accessed with SRST active
 
 # both TRST and SRST are *required* for debug
 # DCSR is often accessed with SRST active
index 95f7f16f0767bce66b4c682411cdcbb32df0bd75..bd904b5dd660689f649ca87c30aa234eed1ce59c 100644 (file)
@@ -34,9 +34,9 @@ if { [info exists CPUTAPID3] } {
    set _CPUTAPID3 0x89265013
 }
 
    set _CPUTAPID3 0x89265013
 }
 
-# set adapter_nsrst_delay to the delay introduced by your reset circuit
+# set adapter srst delay to the delay introduced by your reset circuit
 # the rest of the needed delays are built into the openocd program
 # the rest of the needed delays are built into the openocd program
-adapter_nsrst_delay 260
+adapter srst delay 260
 # set the jtag_ntrst_delay to the delay introduced by a reset circuit
 # the rest of the needed delays are built into the openocd program
 jtag_ntrst_delay 250
 # set the jtag_ntrst_delay to the delay introduced by a reset circuit
 # the rest of the needed delays are built into the openocd program
 jtag_ntrst_delay 250
index c459f6eaaec226bee7455745e685d88a6249313b..1a4539ca9b4ee2b42824e19458f17dd91714625f 100644 (file)
@@ -59,9 +59,9 @@ if { [info exists CPUTAPID_PXA32X_C0] } {
    set _CPUTAPID_PXA32X_C0 0x7E642013
 }
 
    set _CPUTAPID_PXA32X_C0 0x7E642013
 }
 
-# set adapter_nsrst_delay to the delay introduced by your reset circuit
+# set adapter srst delay to the delay introduced by your reset circuit
 # the rest of the needed delays are built into the openocd program
 # the rest of the needed delays are built into the openocd program
-adapter_nsrst_delay 260
+adapter srst delay 260
 
 # set the jtag_ntrst_delay to the delay introduced by a reset circuit
 # the rest of the needed delays are built into the openocd program
 
 # set the jtag_ntrst_delay to the delay introduced by a reset circuit
 # the rest of the needed delays are built into the openocd program
index 3d21578524981ee1f9c72b46efdb7896eff84d93..0b046b84274c59d11a4b29252947273c749e1e1d 100644 (file)
@@ -38,12 +38,12 @@ reset_config none srst_pulls_trst
 # For SRST based variant we still need proper timings.
 # For ETH part the reset should be asserted at least for 10ms
 # Since there is no other information let's take 100ms to be sure.
 # For SRST based variant we still need proper timings.
 # For ETH part the reset should be asserted at least for 10ms
 # Since there is no other information let's take 100ms to be sure.
-adapter_nsrst_assert_width 100
+adapter srst pulse_width 100
 
 # according to the SoC documentation it should take at least 5ms from
 # reset end till bootstrap end. In the practice we need 8ms to get JTAG back
 # to live.
 
 # according to the SoC documentation it should take at least 5ms from
 # reset end till bootstrap end. In the practice we need 8ms to get JTAG back
 # to live.
-adapter_nsrst_delay 8
+adapter srst delay 8
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $_CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $_CHIPNAME
index f028b11c732325343af0cd41aba00a617282164f..2c3cc8df1456df59f7092e7393ab17fa00e4cc5b 100644 (file)
@@ -26,12 +26,12 @@ assumed that all write-protect mechanisms should be disabled.
 flash write_image [file] <parameters>
 verify_image [file] <parameters>
 
 flash write_image [file] <parameters>
 verify_image [file] <parameters>
 
-4. adapter_khz sets the maximum speed (or alternatively RCLK). If invoked
+4. adapter speed sets the maximum speed (or alternatively RCLK). If invoked
 multiple times only the last setting is used.
 
 interface/xxx.cfg files are always executed *before* target/xxx.cfg
 multiple times only the last setting is used.
 
 interface/xxx.cfg files are always executed *before* target/xxx.cfg
-files, so any adapter_khz in interface/xxx.cfg will be overridden by
-target/xxx.cfg. adapter_khz in interface/xxx.cfg would then, effectively,
+files, so any adapter speed in interface/xxx.cfg will be overridden by
+target/xxx.cfg. adapter speed in interface/xxx.cfg would then, effectively,
 set the default JTAG speed.
 
 Note that a target/xxx.cfg file can invoke another target/yyy.cfg file,
 set the default JTAG speed.
 
 Note that a target/xxx.cfg file can invoke another target/yyy.cfg file,
index 78fb3e82ff12d4259b5b026098d0993077a021a3..b4be88f610bdd7f3051d31fc458517862f52653b 100644 (file)
@@ -48,4 +48,4 @@ if { ![using_hla] } {
        cortex_m reset_config sysresetreq
 }
 
        cortex_m reset_config sysresetreq
 }
 
-adapter_khz 1000
+adapter speed 1000
index 1bc4f2d87581f7aeacea9dfa7fcc10d795a0df57..248255719839c97f43aaaafc11ded8a8bfae9881 100644 (file)
@@ -7,11 +7,11 @@
 #
 # RCLK?
 #
 #
 # RCLK?
 #
-# adapter_khz 0
+# adapter speed 0
 #
 # Really low clock during reset?
 #
 #
 # Really low clock during reset?
 #
-# adapter_khz 1
+# adapter speed 1
 
 if { [info exists CHIPNAME] } {
   set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
   set _CHIPNAME $CHIPNAME
index 88fe966dcd3b42f7c84963ac6ba0227b6d499da2..9f7c2cddf768ab95197193e657ee1d58cf55a85b 100644 (file)
@@ -40,7 +40,7 @@ jtag newtap $_CHIPNAME cpu -irlen 5 -ircapture 0x1 -irmask 0x1f -expected-id $_C
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm11 -endian $_ENDIAN -chain-position $_TARGETNAME
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm11 -endian $_ENDIAN -chain-position $_TARGETNAME
 
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 #reset configuration
 jtag_ntrst_delay 500
 
 #reset configuration
index ed46a3b3410bee7b2af7992897dd2352bb46dbe8..3d3fc5c3e5c71177d2579f80d0d2d84b640be027 100755 (executable)
@@ -48,9 +48,9 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME sim3x 0 $_CPUROMSIZE 0 0 $_TARGETNAME
 
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME sim3x 0 $_CPUROMSIZE 0 0 $_TARGETNAME
 
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
index c13414c873b054c2537147b3c92fae8e3a6031fc..e95f633db1b7cb14f8af1ec250106bdc93517abf 100644 (file)
@@ -18,7 +18,7 @@ if { [info exists CPUTAPID] } {
    set _CPUTAPID 0x08630001
 }
 
    set _CPUTAPID 0x08630001
 }
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst separate
 jtag_ntrst_delay 100
 
 reset_config trst_and_srst separate
index 7fffd2a7ca03f03df7293a4c093926854567647b..fb591c2bf23ba7c87983f9108643306b9a4b1b29 100644 (file)
@@ -68,7 +68,7 @@ $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE
 # NOTE: this may be increased by a reset-init handler, after it
 # configures and enables the PLL.  Or you might need to decrease
 # this, if you're using a slower clock.
 # NOTE: this may be increased by a reset-init handler, after it
 # configures and enables the PLL.  Or you might need to decrease
 # this, if you're using a slower clock.
-adapter_khz 500
+adapter speed 500
 
 source [find mem_helper.tcl]
 
 
 source [find mem_helper.tcl]
 
@@ -132,7 +132,7 @@ proc reset_peripherals {family} {
 }
 
 $_TARGETNAME configure -event reset-start {
 }
 
 $_TARGETNAME configure -event reset-start {
-       adapter_khz 500
+       adapter speed 500
 
        #
        # When nRST is asserted on most Stellaris devices, it clears some of
 
        #
        # When nRST is asserted on most Stellaris devices, it clears some of
index baac9b68d1e84f546083b3aad35e6e3b1c3f5b64..b20d036cf185f9c1189267fb3376a6cfa991f840 100644 (file)
@@ -52,9 +52,9 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME stm32f1x 0x08000000 $_FLASH_SIZE 0 0 $_TARGETNAME
 
 # adapter speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
 flash bank $_FLASHNAME stm32f1x 0x08000000 $_FLASH_SIZE 0 0 $_TARGETNAME
 
 # adapter speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 reset_config srst_nogate
 
 
 reset_config srst_nogate
 
@@ -66,7 +66,7 @@ if {![using_hla]} {
 
 proc stm32f0x_default_reset_start {} {
        # Reset clock is HSI (8 MHz)
 
 proc stm32f0x_default_reset_start {} {
        # Reset clock is HSI (8 MHz)
-       adapter_khz 1000
+       adapter speed 1000
 }
 
 proc stm32f0x_default_examine_end {} {
 }
 
 proc stm32f0x_default_examine_end {} {
@@ -86,7 +86,7 @@ proc stm32f0x_default_reset_init {} {
        mmw 0x40021004 0x00000002 0 ;# RCC_CFGR |= SW[1]
 
        # Boost JTAG frequency
        mmw 0x40021004 0x00000002 0 ;# RCC_CFGR |= SW[1]
 
        # Boost JTAG frequency
-       adapter_khz 8000
+       adapter speed 8000
 }
 
 # Default hooks
 }
 
 # Default hooks
index 471878d7fd0f5f7ea2e351a1e81ed918bf29348f..3e85fb217ae0f8606fb700a9c983811dee508e8a 100644 (file)
@@ -60,9 +60,9 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME stm32f1x 0x08000000 $_FLASH_SIZE 0 0 $_TARGETNAME
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
 flash bank $_FLASHNAME stm32f1x 0x08000000 $_FLASH_SIZE 0 0 $_TARGETNAME
 
 # JTAG speed should be <= F_CPU/6. F_CPU after reset is 8MHz, so use F_JTAG = 1MHz
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
index 1e8b94ace0301c9631e7b4d857f08e35377e8b1e..d790febd56464ede05c66838acb014c1fe285a1c 100644 (file)
@@ -28,9 +28,9 @@ if { [info exists WORKAREASIZE] } {
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
index 86e9f594e38053347387e33122ba7e04717e11d8..e3f1a34ddccdd371d19752cf0d24ef6dae603951 100644 (file)
@@ -28,9 +28,9 @@ if { [info exists WORKAREASIZE] } {
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
-adapter_khz 1000
+adapter speed 1000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -73,7 +73,7 @@ if {![using_hla]} {
 
 proc stm32f3x_default_reset_start {} {
        # Reset clock is HSI (8 MHz)
 
 proc stm32f3x_default_reset_start {} {
        # Reset clock is HSI (8 MHz)
-       adapter_khz 1000
+       adapter speed 1000
 }
 
 proc stm32f3x_default_examine_end {} {
 }
 
 proc stm32f3x_default_examine_end {} {
@@ -93,7 +93,7 @@ proc stm32f3x_default_reset_init {} {
        mmw 0x40021004 0x00000002 0 ;# RCC_CFGR |= SW[1]
 
        # Boost JTAG frequency
        mmw 0x40021004 0x00000002 0 ;# RCC_CFGR |= SW[1]
 
        # Boost JTAG frequency
-       adapter_khz 8000
+       adapter speed 8000
 }
 
 # Default hooks
 }
 
 # Default hooks
index 09ce14a5dd50f6af1c218ff91aa295fdda2752ba..b95e783c526d5669d58278ee3e83300305b4f482 100644 (file)
@@ -58,9 +58,9 @@ flash bank $_CHIPNAME.otp stm32f2x 0x1fff7800 0 0 0 $_TARGETNAME
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
 # bit more to be on the safe side. Perhaps superstition, but if are
 # running off a crystal, we can run closer to the limit. Note
 # that there can be a pretty wide band where things are more or less stable.
-adapter_khz 2000
+adapter speed 2000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -100,10 +100,10 @@ $_TARGETNAME configure -event reset-init {
        mmw 0x40023808 0x00000002 0 ;# RCC_CFGR |= RCC_CFGR_SW_PLL
 
        # Boost JTAG frequency
        mmw 0x40023808 0x00000002 0 ;# RCC_CFGR |= RCC_CFGR_SW_PLL
 
        # Boost JTAG frequency
-       adapter_khz 8000
+       adapter speed 8000
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reduce speed since CPU speed will slow down to 16MHz with the reset
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reduce speed since CPU speed will slow down to 16MHz with the reset
-       adapter_khz 2000
+       adapter speed 2000
 }
 }
index ba1d12ffb25a98222e8787dfcecc3b6f2a29efe4..db1794c195fdc5037f697d30df961339e4b6f17f 100755 (executable)
@@ -65,9 +65,9 @@ flash bank $_CHIPNAME.otp stm32f2x 0x1ff0f000 0 0 0 $_TARGETNAME
 flash bank $_CHIPNAME.itcm-flash.alias virtual 0x00200000 0 0 0 $_TARGETNAME $_FLASHNAME
 
 # adapter speed should be <= F_CPU/6. F_CPU after reset is 16MHz, so use F_JTAG = 2MHz
 flash bank $_CHIPNAME.itcm-flash.alias virtual 0x00200000 0 0 0 $_TARGETNAME $_FLASHNAME
 
 # adapter speed should be <= F_CPU/6. F_CPU after reset is 16MHz, so use F_JTAG = 2MHz
-adapter_khz 2000
+adapter speed 2000
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -162,12 +162,12 @@ $_TARGETNAME configure -event reset-init {
        if {[using_jtag]} {
                [[target current] cget -dap] memaccess 16
        } {
        if {[using_jtag]} {
                [[target current] cget -dap] memaccess 16
        } {
-               adapter_khz 8000
+               adapter speed 8000
        }
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reduce speed since CPU speed will slow down to 16MHz with the reset
        }
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reduce speed since CPU speed will slow down to 16MHz with the reset
-       adapter_khz 2000
+       adapter speed 2000
 }
 
 }
 
index 1d116542a7ddc45a6f64d417be277ed6eaac7a46..2d92eca92ba576a40341bf49cd3d1582ea0149fc 100644 (file)
@@ -105,9 +105,9 @@ if {[set $_CHIPNAME.DUAL_CORE]} {
 targets $_CHIPNAME.cpu0
 
 # Clock after reset is HSI at 64 MHz, no need of PLL
 targets $_CHIPNAME.cpu0
 
 # Clock after reset is HSI at 64 MHz, no need of PLL
-adapter_khz 1800
+adapter speed 1800
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -170,7 +170,7 @@ $_CHIPNAME.cpu0 configure -event trace-config {
 
 $_CHIPNAME.cpu0 configure -event reset-init {
        # Clock after reset is HSI at 64 MHz, no need of PLL
 
 $_CHIPNAME.cpu0 configure -event reset-init {
        # Clock after reset is HSI at 64 MHz, no need of PLL
-       adapter_khz 4000
+       adapter speed 4000
 }
 
 if {[set $_CHIPNAME.DUAL_CORE]} {
 }
 
 if {[set $_CHIPNAME.DUAL_CORE]} {
index e09af80018d6504d070f5bc1c1f1b019b39e3fbe..7653d13efee9df238476f0a90ae71686b2678a9a 100644 (file)
@@ -24,9 +24,9 @@ if { [info exists WORKAREASIZE] } {
 
 # JTAG speed should be <= F_CPU/6.
 # F_CPU after reset is ~2MHz, so use F_JTAG max = 333kHz
 
 # JTAG speed should be <= F_CPU/6.
 # F_CPU after reset is ~2MHz, so use F_JTAG max = 333kHz
-adapter_khz 300
+adapter speed 300
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 
 if { [info exists CPUTAPID] } {
     set _CPUTAPID $CPUTAPID
 
 if { [info exists CPUTAPID] } {
     set _CPUTAPID $CPUTAPID
@@ -70,7 +70,7 @@ proc stm32l0_enable_HSI16 {} {
        while { ([ mrw 0x4002100c ] & 0x0c) != 0x04 } { }
 
        # Increase speed
        while { ([ mrw 0x4002100c ] & 0x0c) != 0x04 } { }
 
        # Increase speed
-       adapter_khz 2500
+       adapter speed 2500
 }
 
 $_TARGETNAME configure -event reset-init {
 }
 
 $_TARGETNAME configure -event reset-init {
@@ -78,7 +78,7 @@ $_TARGETNAME configure -event reset-init {
 }
 
 $_TARGETNAME configure -event reset-start {
 }
 
 $_TARGETNAME configure -event reset-start {
-       adapter_khz 300
+       adapter speed 300
 }
 
 $_TARGETNAME configure -event examine-end {
 }
 
 $_TARGETNAME configure -event examine-end {
index 0933151a0e9bf2feb80fb45c2533ac3907ac270d..a81d7c7985bc4ddab4e60a8d41a5c037af4a7dd9 100644 (file)
@@ -23,9 +23,9 @@ if { [info exists WORKAREASIZE] } {
 
 # JTAG speed should be <= F_CPU/6.
 # F_CPU after reset is 2MHz, so use F_JTAG max = 333kHz
 
 # JTAG speed should be <= F_CPU/6.
 # F_CPU after reset is 2MHz, so use F_JTAG max = 333kHz
-adapter_khz 300
+adapter speed 300
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -79,7 +79,7 @@ proc stm32l_enable_HSI {} {
        mmw 0x40023808 0x00000001 0
 
        # Increase JTAG speed
        mmw 0x40023808 0x00000001 0
 
        # Increase JTAG speed
-       adapter_khz 2000
+       adapter speed 2000
 }
 
 $_TARGETNAME configure -event reset-init {
 }
 
 $_TARGETNAME configure -event reset-init {
@@ -87,7 +87,7 @@ $_TARGETNAME configure -event reset-init {
 }
 
 $_TARGETNAME configure -event reset-start {
 }
 
 $_TARGETNAME configure -event reset-start {
-       adapter_khz 300
+       adapter speed 300
 }
 
 $_TARGETNAME configure -event examine-end {
 }
 
 $_TARGETNAME configure -event examine-end {
index 496b47a72b6e4ce3640ea99e1d337799f832c8f0..46e6f7e0db9fe8c92b380054dead5c9f482e6fc9 100644 (file)
@@ -56,9 +56,9 @@ flash bank $_FLASHNAME stm32l4x 0 0 0 0 $_TARGETNAME
 #
 # Note that there is a pretty wide band where things are
 # more or less stable, see http://openocd.zylin.com/#/c/3366/
 #
 # Note that there is a pretty wide band where things are
 # more or less stable, see http://openocd.zylin.com/#/c/3366/
-adapter_khz 500
+adapter speed 500
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
 if {[using_jtag]} {
  jtag_ntrst_delay 100
 }
@@ -78,12 +78,12 @@ $_TARGETNAME configure -event reset-init {
        mww 0x40022000 0x00000103   ;# FLASH_ACR = PRFTBE | 3(Latency)
        mww 0x40021000 0x00000099   ;# RCC_CR = MSI_ON | MSIRGSEL | MSI Range 9
        # Boost JTAG frequency
        mww 0x40022000 0x00000103   ;# FLASH_ACR = PRFTBE | 3(Latency)
        mww 0x40021000 0x00000099   ;# RCC_CR = MSI_ON | MSIRGSEL | MSI Range 9
        # Boost JTAG frequency
-       adapter_khz 4000
+       adapter speed 4000
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reset clock is MSI (4 MHz)
 }
 
 $_TARGETNAME configure -event reset-start {
        # Reset clock is MSI (4 MHz)
-       adapter_khz 500
+       adapter speed 500
 }
 
 $_TARGETNAME configure -event examine-end {
 }
 
 $_TARGETNAME configure -event examine-end {
index 5cc99e1913690cc4f53da9389247874a6ce4bf6d..386f371ea30a6e3940ca5fb0b8f09b28d067515b 100644 (file)
@@ -79,7 +79,7 @@ $_TARGETNAME configure -optionstart $_OPTIONSTART -optionend $_OPTIONEND -blocks
 $_TARGETNAME configure -enable_stm8l
 
 # The khz rate does not apply here, only slow <0> and fast <1>
 $_TARGETNAME configure -enable_stm8l
 
 # The khz rate does not apply here, only slow <0> and fast <1>
-adapter_khz 1
+adapter speed 1
 
 reset_config srst_only
 
 
 reset_config srst_only
 
index d55e61b08fb0a431e0afd2eee2f975e65b1241e6..4768068e820e8410184e3fc596fcd92d65d59cca 100644 (file)
@@ -76,7 +76,7 @@ $_TARGETNAME configure -optionstart $_OPTIONSTART -optionend $_OPTIONEND -blocks
 #$_TARGETNAME configure -enable_step_irq
 
 # The khz rate does not apply here, only slow <0> and fast <1>
 #$_TARGETNAME configure -enable_step_irq
 
 # The khz rate does not apply here, only slow <0> and fast <1>
-adapter_khz 1
+adapter speed 1
 
 reset_config srst_only
 
 
 reset_config srst_only
 
index d26a8b1cf0650ed89b209b28245418b3e1951361..29faaaa58f6906a23093e2940c6890f6732ef880 100644 (file)
@@ -1,5 +1,5 @@
 #start slow, speed up after reset
 #start slow, speed up after reset
-adapter_khz 10
+adapter speed 10
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
@@ -29,9 +29,9 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id $_C
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian $_ENDIAN -chain-position $_TARGETNAME
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian $_ENDIAN -chain-position $_TARGETNAME
 
-$_TARGETNAME configure -event reset-start { adapter_khz 10 }
+$_TARGETNAME configure -event reset-start { adapter speed 10 }
 $_TARGETNAME configure -event reset-init {
 $_TARGETNAME configure -event reset-init {
-       adapter_khz 6000
+       adapter speed 6000
 
 # Because the hardware cannot be interrogated for the protection state
 # of sectors, initialize all the sectors to be unprotected. The initial
 
 # Because the hardware cannot be interrogated for the protection state
 # of sectors, initialize all the sectors to be unprotected. The initial
index 48d3134aac151c92724dcc14dd0a2bf8fca6ae14..9a2719472c773074ba9f2c242ef9b0e9fb91af6c 100644 (file)
@@ -1,6 +1,6 @@
 #STR730 CPU
 
 #STR730 CPU
 
-adapter_khz 3000
+adapter speed 3000
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
    set _CHIPNAME $CHIPNAME
@@ -27,15 +27,15 @@ reset_config trst_and_srst srst_pulls_trst
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id $_CPUTAPID
 
 #jtag nTRST and nSRST delay
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id $_CPUTAPID
 
 #jtag nTRST and nSRST delay
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian little -chain-position 0
 
 jtag_ntrst_delay 500
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian little -chain-position 0
 
-$_TARGETNAME configure -event reset-start { adapter_khz 10 }
+$_TARGETNAME configure -event reset-start { adapter speed 10 }
 $_TARGETNAME configure -event reset-init {
 $_TARGETNAME configure -event reset-init {
-       adapter_khz 3000
+       adapter speed 3000
 
 # Because the hardware cannot be interrogated for the protection state
 # of sectors, initialize all the sectors to be unprotected. The initial
 
 # Because the hardware cannot be interrogated for the protection state
 # of sectors, initialize all the sectors to be unprotected. The initial
index ef6e7954e48dc39e57ffc4cf31e93d03852962bb..335d5ada9c671aaea3a6ce6f165f19f92e0288dc 100644 (file)
@@ -19,7 +19,7 @@ if { [info exists CPUTAPID] } {
 }
 
 # jtag speed
 }
 
 # jtag speed
-adapter_khz 10
+adapter speed 10
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst srst_pulls_trst
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst srst_pulls_trst
@@ -29,15 +29,15 @@ reset_config trst_and_srst srst_pulls_trst
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id $_CPUTAPID
 
 #jtag nTRST and nSRST delay
 jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id $_CPUTAPID
 
 #jtag nTRST and nSRST delay
-adapter_nsrst_delay 500
+adapter srst delay 500
 jtag_ntrst_delay 500
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian little -chain-position 0
 
 jtag_ntrst_delay 500
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm7tdmi -endian little -chain-position 0
 
-$_TARGETNAME configure -event reset-start { adapter_khz 10 }
+$_TARGETNAME configure -event reset-start { adapter speed 10 }
 $_TARGETNAME configure -event reset-init {
 $_TARGETNAME configure -event reset-init {
-       adapter_khz 3000
+       adapter speed 3000
 
        init_smi
 # Because the hardware cannot be interrogated for the protection state
 
        init_smi
 # Because the hardware cannot be interrogated for the protection state
index 36c0b2a544721a4dd35e4bf986f58f0d2361dbe0..7426276bfbd1f6be406aca1acfec503f4661359c 100644 (file)
@@ -13,9 +13,9 @@ if { [info exists ENDIAN] } {
 }
 
 # jtag speed. We need to stick to 16kHz until we've finished reset.
 }
 
 # jtag speed. We need to stick to 16kHz until we've finished reset.
-adapter_khz 16
+adapter speed 16
 
 
-adapter_nsrst_delay 100
+adapter srst delay 100
 jtag_ntrst_delay 100
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 jtag_ntrst_delay 100
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
@@ -48,11 +48,11 @@ jtag newtap $_CHIPNAME bs -irlen 5 -ircapture 0x1 -irmask 0x1 -expected-id $_BST
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm966e -endian $_ENDIAN -chain-position $_TARGETNAME
 
 set _TARGETNAME $_CHIPNAME.cpu
 target create $_TARGETNAME arm966e -endian $_ENDIAN -chain-position $_TARGETNAME
 
-$_TARGETNAME configure -event reset-start { adapter_khz 16 }
+$_TARGETNAME configure -event reset-start { adapter speed 16 }
 
 $_TARGETNAME configure -event reset-init {
        # We can increase speed now that we know the target is halted.
 
 $_TARGETNAME configure -event reset-init {
        # We can increase speed now that we know the target is halted.
-       #adapter_khz 3000
+       #adapter speed 3000
 
        # -- Enable 96K RAM
        # PFQBC enabled / DTCM & AHB wait-states disabled
 
        # -- Enable 96K RAM
        # PFQBC enabled / DTCM & AHB wait-states disabled
index 9d3b293eebde6cbe8a5a5afba958b4a09a7e224e..52a84fb9b4041a88f2b9fbb93c91090f95de1e6a 100644 (file)
@@ -32,7 +32,7 @@ if { [info exists WORKAREASIZE] } {
        set _WORKAREASIZE 0x10000
 }
 
        set _WORKAREASIZE 0x10000
 }
 
-adapter_khz 1000
+adapter speed 1000
 
 reset_config trst_and_srst
 
 
 reset_config trst_and_srst
 
index 7efecb666559c6b0c04d75ef85d34c2910bf7739..8d8a0df4ea928a6a9dea614d932dc56a6ceadb91 100644 (file)
@@ -53,4 +53,4 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME cc26xx 0 0 0 0 $_TARGETNAME
 
 reset_config srst_only
 flash bank $_FLASHNAME cc26xx 0 0 0 0 $_TARGETNAME
 
 reset_config srst_only
-adapter_nsrst_delay 100
+adapter srst delay 100
index bc3038d8e1e6fa6373dc0a3f31fe5345843a2aaf..6f91d3fd3ee35ba35a8a7acb3ed3dc2ebd1a33b7 100644 (file)
@@ -61,4 +61,4 @@ if { [info exists WORKAREASIZE] } {
 $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
 reset_config srst_only
 $_TARGETNAME configure -work-area-phys 0x20000000 -work-area-size $_WORKAREASIZE -work-area-backup 0
 
 reset_config srst_only
-adapter_nsrst_delay 1100
+adapter srst delay 1100
index 4f8f523e889ded0fbc8b04381b4932d862bbd300..91c008765d1e502ede1936a8a9a2afd470c0b8aa 100644 (file)
@@ -98,8 +98,8 @@ $_TARGETNAME configure \
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 24 MHz (best case: 36 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 24 MHz (best case: 36 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
-adapter_khz 1500
-$_TARGETNAME configure -event "reset-start" { adapter_khz 1500 }
+adapter speed 1500
+$_TARGETNAME configure -event "reset-start" { adapter speed 1500 }
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
index 0db83dbaa3cde90840fe253aea20c19756e6a9de..8b52746bd12ea2e887541ea113d6774ab347d990 100644 (file)
@@ -90,8 +90,8 @@ $_TARGETNAME configure \
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 19.2 MHz (best case: 36 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 19.2 MHz (best case: 36 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
-adapter_khz 1500
-$_TARGETNAME configure -event "reset-start" { adapter_khz 1500 }
+adapter speed 1500
+$_TARGETNAME configure -event "reset-start" { adapter speed 1500 }
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
index fa1e6e957c316cb74552198aa9daacee9fcc5153..ccc650a3df9be0ae331fc8035aa8123d81a63069 100644 (file)
@@ -70,8 +70,8 @@ $_TARGETNAME configure -work-area-phys 0x0000a000 -work-area-size 0x2000
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 20 MHz (best case: 30 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
 # be absolutely certain the JTAG clock will work with the worst-case
 # CLKIN = 20 MHz (best case: 30 MHz) even when no bootloader turns
 # on the PLL and starts using it.  OK to speed up after clock setup.
-adapter_khz 1500
-$_TARGETNAME configure -event "reset-start" { adapter_khz 1500 }
+adapter speed 1500
+$_TARGETNAME configure -event "reset-start" { adapter speed 1500 }
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
 
 arm7_9 fast_memory_access enable
 arm7_9 dcc_downloads enable
index 3407f7505f9f1422f3cf35a53d31585b1cf0437c..146e7ee211a730892dbb2604633f5e1271404872 100644 (file)
@@ -48,4 +48,4 @@ set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME msp432 0 0 0 0 $_TARGETNAME
 
 reset_config srst_only
 flash bank $_FLASHNAME msp432 0 0 0 0 $_TARGETNAME
 
 reset_config srst_only
-adapter_nsrst_delay 100
+adapter srst delay 100
index ce3a17696eaa9e263839220a2bc61b07cde84131..d06ff973fc3971876ca9afb25eda2d5e0d6738e8 100644 (file)
@@ -1,4 +1,4 @@
-adapter_khz 1500
+adapter speed 1500
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
        set _CHIPNAME $CHIPNAME
index 3ba3591beb263fe17778b98a36fa20b632809742..8e70700201cb38a21b3ac8804cfb88430bff096a 100644 (file)
@@ -28,7 +28,7 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CP
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
-adapter_nsrst_delay 20
+adapter srst delay 20
 jtag_ntrst_delay 20
 
 ######################
 jtag_ntrst_delay 20
 
 ######################
index 5d41c8c2ad7076c26809dd69fceba15bc3b3ead7..d933c0b2a856e6ee69616b22bceaeb91ff250557 100644 (file)
@@ -28,7 +28,7 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CP
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 reset_config trst_and_srst
-adapter_nsrst_delay 20
+adapter srst delay 20
 jtag_ntrst_delay 20
 
 ######################
 jtag_ntrst_delay 20
 
 ######################
index 7ff39291bab86eee39d7b33943ab6d29c8856cf1..faaf97d17ceaf66603b3b35cd5ad04d873493486 100644 (file)
@@ -314,7 +314,7 @@ global _MAXSPEED
 set _MAXSPEED $MAXSPEED
 }
 global _MAXSPEED 
 set _MAXSPEED $MAXSPEED
 }
 global _MAXSPEED 
-adapter_khz $_MAXSPEED
+adapter speed $_MAXSPEED
 
 
 gdb_breakpoint_override hard
 
 
 gdb_breakpoint_override hard
index 7cb916d1fc2ccc28977971cc877f9410f57a447b..c888d259ffeeb21780e535d936409fe33986551d 100644 (file)
@@ -34,4 +34,4 @@ dap create $_CHIPNAME.dap -chain-position $_CHIPNAME.cpu
 set _TARGETNAME $_CHIPNAME.cpu
 target create ${_TARGETNAME}0 cortex_a -dap $_CHIPNAME.dap -dbgbase 0xc0088000
 target create ${_TARGETNAME}1 cortex_m -dap $_CHIPNAME.dap -ap-num 3 -defer-examine
 set _TARGETNAME $_CHIPNAME.cpu
 target create ${_TARGETNAME}0 cortex_a -dap $_CHIPNAME.dap -dbgbase 0xc0088000
 target create ${_TARGETNAME}1 cortex_m -dap $_CHIPNAME.dap -ap-num 3 -defer-examine
-adapter_khz 1000
+adapter speed 1000
index e693b59db1b8706846147a7a7c7052f298b00635..eb94d7b3c5deae7864ed22045e2ae48784a8236a 100644 (file)
@@ -38,4 +38,4 @@ $_TARGETNAME configure -work-area-phys 0x20000000 \
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME xmc1xxx 0x10000000 0 0 0 $_TARGETNAME
 
 set _FLASHNAME $_CHIPNAME.flash
 flash bank $_FLASHNAME xmc1xxx 0x10000000 0 0 0 $_TARGETNAME
 
-adapter_khz 1000
+adapter speed 1000
index e106d34e3bde7e421945b4ed6f8e03f32bd36a99..3020b28b0fb634c53bcf58598423249a4df5848a 100644 (file)
@@ -57,4 +57,4 @@ if { ![using_hla] } {
        cortex_m reset_config sysresetreq
 }
 
        cortex_m reset_config sysresetreq
 }
 
-adapter_khz 1000
+adapter speed 1000
index 1562768c5387ff687252d9f605c3bfadc1ad4599..b4b6f9f189ae4ee16d5dc665c5f65547229243c1 100644 (file)
@@ -23,7 +23,7 @@ target create ${_TARGETNAME}1 cortex_a -dap $_CHIPNAME.dap \
     -coreid 1 -dbgbase 0x80092000
 target smp ${_TARGETNAME}0 ${_TARGETNAME}1
 
     -coreid 1 -dbgbase 0x80092000
 target smp ${_TARGETNAME}0 ${_TARGETNAME}1
 
-adapter_khz 1000
+adapter speed 1000
 
 ${_TARGETNAME}0 configure -event reset-assert-post "cortex_a dbginit"
 ${_TARGETNAME}1 configure -event reset-assert-post "cortex_a dbginit"
 
 ${_TARGETNAME}0 configure -event reset-assert-post "cortex_a dbginit"
 ${_TARGETNAME}1 configure -event reset-assert-post "cortex_a dbginit"
index 7d8c1135838a7aac82eb59571d5d84b54059a9c3..0a8467f49ba30f52726d36ccad2d10c40ebbe1be 100644 (file)
@@ -1,7 +1,7 @@
 # СБИС К1879ХБ1Я
 # http://www.module.ru/catalog/micro/mikroshema_dekodera_cifrovogo_televizionnogo_signala_sbis_k1879hb1ya/
 
 # СБИС К1879ХБ1Я
 # http://www.module.ru/catalog/micro/mikroshema_dekodera_cifrovogo_televizionnogo_signala_sbis_k1879hb1ya/
 
-adapter_khz 1000
+adapter speed 1000
 
 if { [info exists CHIPNAME] } {
     set _CHIPNAME $CHIPNAME
 
 if { [info exists CHIPNAME] } {
     set _CHIPNAME $CHIPNAME
index 5d013f073a1eb538e3bbeed4f7f5eb7d23e29330..04e615ec07c09ee53d01f6c8b80ebc3b508b30a9 100644 (file)
@@ -1,4 +1,4 @@
-adapter_nsrst_delay 200
+adapter srst delay 200
 jtag_ntrst_delay 200
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
 jtag_ntrst_delay 200
 
 #use combined on interfaces or targets that can't set TRST/SRST separately
index 8e017ce5b3cf55d04af4b7c3f2595b5728e918ac..8b28656aaeeb8dd661aa2a78c1ea8c1b5bc576c3 100644 (file)
@@ -29,7 +29,7 @@ dump_part <name> <filename>   save partition's contents to a file
 erase_part <name>              erase the given partition
 flash_part <name> <filename>   erase, flash and verify the given partition
 ram_boot <filename>            load binary file to RAM and run it
 erase_part <name>              erase the given partition
 flash_part <name> <filename>   erase, flash and verify the given partition
 ram_boot <filename>            load binary file to RAM and run it
-adapter_khz <freq>             set JTAG clock frequency in kHz
+adapter speed <freq>           set JTAG clock frequency in kHz
 
 For example, to clear nvram and reflash CFE on an RT-N16 using TUMPA, run:
 openocd -f interface/ftdi/tumpa.cfg -f tools/firmware-recovery.tcl \\
 
 For example, to clear nvram and reflash CFE on an RT-N16 using TUMPA, run:
 openocd -f interface/ftdi/tumpa.cfg -f tools/firmware-recovery.tcl \\
@@ -39,7 +39,7 @@ openocd -f interface/ftdi/tumpa.cfg -f tools/firmware-recovery.tcl \\
 }
 
 # set default, can be overriden later
 }
 
 # set default, can be overriden later
-adapter_khz 1000
+adapter speed 1000
 
 proc get_partition { name } {
     global partition_list
 
 proc get_partition { name } {
     global partition_list

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)