X-Git-Url: https://review.openocd.org/gitweb?p=openocd.git;a=blobdiff_plain;f=src%2Fjtag%2Ftcl.c;h=bb86a325b0da2de65925e3efdf18671168b3bddb;hp=1eead8d939fb9f6a75111b2310e2090cb4ba422b;hb=7124be824792eee3f973eb2cf7e1c851cbd2a923;hpb=23402315ce01071f30d7ec0c5ca7563ce41f1cc6 diff --git a/src/jtag/tcl.c b/src/jtag/tcl.c index 1eead8d939..bb86a325b0 100644 --- a/src/jtag/tcl.c +++ b/src/jtag/tcl.c @@ -51,6 +51,238 @@ static const Jim_Nvp nvp_jtag_tap_event[] = { extern struct jtag_interface *jtag_interface; +static bool scan_is_safe(tap_state_t state) +{ + switch (state) + { + case TAP_RESET: + case TAP_IDLE: + case TAP_DRPAUSE: + case TAP_IRPAUSE: + return true; + default: + return false; + } +} + +static int Jim_Command_drscan(Jim_Interp *interp, int argc, Jim_Obj *const *args) +{ + int retval; + struct scan_field *fields; + int num_fields; + int field_count = 0; + int i, e; + struct jtag_tap *tap; + tap_state_t endstate; + + /* args[1] = device + * args[2] = num_bits + * args[3] = hex string + * ... repeat num bits and hex string ... + * + * .. optionally: + * args[N-2] = "-endstate" + * args[N-1] = statename + */ + if ((argc < 4) || ((argc % 2) != 0)) + { + Jim_WrongNumArgs(interp, 1, args, "wrong arguments"); + return JIM_ERR; + } + + endstate = TAP_IDLE; + + script_debug(interp, "drscan", argc, args); + + /* validate arguments as numbers */ + e = JIM_OK; + for (i = 2; i < argc; i += 2) + { + long bits; + const char *cp; + + e = Jim_GetLong(interp, args[i], &bits); + /* If valid - try next arg */ + if (e == JIM_OK) { + continue; + } + + /* Not valid.. are we at the end? */ + if (((i + 2) != argc)) { + /* nope, then error */ + return e; + } + + /* it could be: "-endstate FOO" + * e.g. DRPAUSE so we can issue more instructions + * before entering RUN/IDLE and executing them. + */ + + /* get arg as a string. */ + cp = Jim_GetString(args[i], NULL); + /* is it the magic? */ + if (0 == strcmp("-endstate", cp)) { + /* is the statename valid? */ + cp = Jim_GetString(args[i + 1], NULL); + + /* see if it is a valid state name */ + endstate = tap_state_by_name(cp); + if (endstate < 0) { + /* update the error message */ + Jim_SetResult_sprintf(interp,"endstate: %s invalid", cp); + } else { + if (!scan_is_safe(endstate)) + LOG_WARNING("drscan with unsafe " + "endstate \"%s\"", cp); + + /* valid - so clear the error */ + e = JIM_OK; + /* and remove the last 2 args */ + argc -= 2; + } + } + + /* Still an error? */ + if (e != JIM_OK) { + return e; /* too bad */ + } + } /* validate args */ + + tap = jtag_tap_by_jim_obj(interp, args[1]); + if (tap == NULL) { + return JIM_ERR; + } + + num_fields = (argc-2)/2; + fields = malloc(sizeof(struct scan_field) * num_fields); + for (i = 2; i < argc; i += 2) + { + long bits; + int len; + const char *str; + + Jim_GetLong(interp, args[i], &bits); + str = Jim_GetString(args[i + 1], &len); + + fields[field_count].tap = tap; + fields[field_count].num_bits = bits; + fields[field_count].out_value = malloc(DIV_ROUND_UP(bits, 8)); + str_to_buf(str, len, fields[field_count].out_value, bits, 0); + fields[field_count].in_value = fields[field_count].out_value; + field_count++; + } + + jtag_add_dr_scan(num_fields, fields, endstate); + + retval = jtag_execute_queue(); + if (retval != ERROR_OK) + { + Jim_SetResultString(interp, "drscan: jtag execute failed",-1); + return JIM_ERR; + } + + field_count = 0; + Jim_Obj *list = Jim_NewListObj(interp, NULL, 0); + for (i = 2; i < argc; i += 2) + { + long bits; + char *str; + + Jim_GetLong(interp, args[i], &bits); + str = buf_to_str(fields[field_count].in_value, bits, 16); + free(fields[field_count].out_value); + + Jim_ListAppendElement(interp, list, Jim_NewStringObj(interp, str, strlen(str))); + free(str); + field_count++; + } + + Jim_SetResult(interp, list); + + free(fields); + + return JIM_OK; +} + + +static int Jim_Command_pathmove(Jim_Interp *interp, int argc, Jim_Obj *const *args) +{ + tap_state_t states[8]; + + if ((argc < 2) || ((size_t)argc > (ARRAY_SIZE(states) + 1))) + { + Jim_WrongNumArgs(interp, 1, args, "wrong arguments"); + return JIM_ERR; + } + + script_debug(interp, "pathmove", argc, args); + + int i; + for (i = 0; i < argc-1; i++) + { + const char *cp; + cp = Jim_GetString(args[i + 1], NULL); + states[i] = tap_state_by_name(cp); + if (states[i] < 0) + { + /* update the error message */ + Jim_SetResult_sprintf(interp,"endstate: %s invalid", cp); + return JIM_ERR; + } + } + + if ((jtag_add_statemove(states[0]) != ERROR_OK) || (jtag_execute_queue()!= ERROR_OK)) + { + Jim_SetResultString(interp, "pathmove: jtag execute failed",-1); + return JIM_ERR; + } + + jtag_add_pathmove(argc-2, states + 1); + + if (jtag_execute_queue()!= ERROR_OK) + { + Jim_SetResultString(interp, "pathmove: failed",-1); + return JIM_ERR; + } + + return JIM_OK; +} + + +static int Jim_Command_flush_count(Jim_Interp *interp, int argc, Jim_Obj *const *args) +{ + script_debug(interp, "flush_count", argc, args); + + Jim_SetResult(interp, Jim_NewIntObj(interp, jtag_get_flush_queue_count())); + + return JIM_OK; +} + +static const struct command_registration jtag_command_handlers_to_move[] = { + { + .name = "drscan", + .mode = COMMAND_EXEC, + .jim_handler = &Jim_Command_drscan, + .help = "execute DR scan " + " ...", + }, + { + .name = "flush_count", + .mode = COMMAND_EXEC, + .jim_handler = &Jim_Command_flush_count, + .help = "returns number of times the JTAG queue has been flushed", + }, + { + .name = "pathmove", + .mode = COMMAND_EXEC, + .jim_handler = &Jim_Command_pathmove, + .usage = ",,... ", + .help = "move JTAG to state1 then to state2, state3, etc.", + }, + COMMAND_REGISTRATION_DONE +}; + + enum jtag_tap_cfg_param { JCFG_EVENT }; @@ -61,94 +293,105 @@ static Jim_Nvp nvp_config_opts[] = { { .name = NULL, .value = -1 } }; -static int jtag_tap_configure_cmd(Jim_GetOptInfo *goi, struct jtag_tap * tap) +static int jtag_tap_configure_event(Jim_GetOptInfo *goi, struct jtag_tap * tap) { + if (goi->argc == 0) + { + Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event ..."); + return JIM_ERR; + } + Jim_Nvp *n; - Jim_Obj *o; - int e; + int e = Jim_GetOpt_Nvp(goi, nvp_jtag_tap_event, &n); + if (e != JIM_OK) + { + Jim_GetOpt_NvpUnknown(goi, nvp_jtag_tap_event, 1); + return e; + } + + if (goi->isconfigure) { + if (goi->argc != 1) { + Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event "); + return JIM_ERR; + } + } else { + if (goi->argc != 0) { + Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event "); + return JIM_ERR; + } + } + + struct jtag_tap_event_action *jteap = tap->event_action; + /* replace existing event body */ + bool found = false; + while (jteap) + { + if (jteap->event == (enum jtag_event)n->value) + { + found = true; + break; + } + jteap = jteap->next; + } + + Jim_SetEmptyResult(goi->interp); + + if (goi->isconfigure) + { + if (!found) + jteap = calloc(1, sizeof(*jteap)); + else if (NULL != jteap->body) + Jim_DecrRefCount(interp, jteap->body); + + jteap->event = n->value; + + Jim_Obj *o; + Jim_GetOpt_Obj(goi, &o); + jteap->body = Jim_DuplicateObj(goi->interp, o); + Jim_IncrRefCount(jteap->body); + + if (!found) + { + /* add to head of event list */ + jteap->next = tap->event_action; + tap->event_action = jteap; + } + } + else if (found) + { + Jim_SetResult(goi->interp, + Jim_DuplicateObj(goi->interp, jteap->body)); + } + return JIM_OK; +} +static int jtag_tap_configure_cmd(Jim_GetOptInfo *goi, struct jtag_tap * tap) +{ /* parse config or cget options */ - while (goi->argc > 0) { + while (goi->argc > 0) + { Jim_SetEmptyResult (goi->interp); - e = Jim_GetOpt_Nvp(goi, nvp_config_opts, &n); - if (e != JIM_OK) { + Jim_Nvp *n; + int e = Jim_GetOpt_Nvp(goi, nvp_config_opts, &n); + if (e != JIM_OK) + { Jim_GetOpt_NvpUnknown(goi, nvp_config_opts, 0); return e; } - switch (n->value) { - case JCFG_EVENT: - if (goi->argc == 0) { - Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event ?event-name? ..."); - return JIM_ERR; - } - - e = Jim_GetOpt_Nvp(goi, nvp_jtag_tap_event, &n); - if (e != JIM_OK) { - Jim_GetOpt_NvpUnknown(goi, nvp_jtag_tap_event, 1); - return e; - } - - if (goi->isconfigure) { - if (goi->argc != 1) { - Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event ?event-name? ?EVENT-BODY?"); - return JIM_ERR; - } - } else { - if (goi->argc != 0) { - Jim_WrongNumArgs(goi->interp, goi->argc, goi->argv, "-event ?event-name?"); - return JIM_ERR; - } - } - - { - struct jtag_tap_event_action *jteap; - - jteap = tap->event_action; - /* replace existing? */ - while (jteap) { - if (jteap->event == (enum jtag_event)n->value) { - break; - } - jteap = jteap->next; - } - - if (goi->isconfigure) { - bool replace = true; - if (jteap == NULL) { - /* create new */ - jteap = calloc(1, sizeof (*jteap)); - replace = false; - } - jteap->event = n->value; - Jim_GetOpt_Obj(goi, &o); - if (jteap->body) { - Jim_DecrRefCount(interp, jteap->body); - } - jteap->body = Jim_DuplicateObj(goi->interp, o); - Jim_IncrRefCount(jteap->body); - - if (!replace) - { - /* add to head of event list */ - jteap->next = tap->event_action; - tap->event_action = jteap; - } - Jim_SetEmptyResult(goi->interp); - } else { - /* get */ - if (jteap == NULL) { - Jim_SetEmptyResult(goi->interp); - } else { - Jim_SetResult(goi->interp, Jim_DuplicateObj(goi->interp, jteap->body)); - } - } - } - /* loop for more */ - break; + switch (n->value) + { + case JCFG_EVENT: + e = jtag_tap_configure_event(goi, tap); + if (e != JIM_OK) + return e; + break; + default: + Jim_SetResult_sprintf(goi->interp, "unknown event: %s", n->name); + return JIM_ERR; } - } /* while (goi->argc) */ + } return JIM_OK; } @@ -339,236 +582,277 @@ static void jtag_tap_handle_event(struct jtag_tap *tap, enum jtag_event e) { struct jtag_tap_event_action * jteap; - for (jteap = tap->event_action; jteap != NULL; jteap = jteap->next) { - if (jteap->event == e) { - LOG_DEBUG("JTAG tap: %s event: %d (%s)\n\taction: %s", - tap->dotted_name, - e, - Jim_Nvp_value2name_simple(nvp_jtag_tap_event, e)->name, - Jim_GetString(jteap->body, NULL)); - if (Jim_EvalObj(interp, jteap->body) != JIM_OK) { - Jim_PrintErrorMessage(interp); - } else switch (e) { - case JTAG_TAP_EVENT_ENABLE: - case JTAG_TAP_EVENT_DISABLE: - /* NOTE: we currently assume the handlers - * can't fail. Right here is where we should - * really be verifying the scan chains ... - */ - tap->enabled = (e == JTAG_TAP_EVENT_ENABLE); - LOG_INFO("JTAG tap: %s %s", tap->dotted_name, - tap->enabled ? "enabled" : "disabled"); - break; - default: - break; - } + for (jteap = tap->event_action; jteap != NULL; jteap = jteap->next) + { + if (jteap->event != e) + continue; + + Jim_Nvp *nvp = Jim_Nvp_value2name_simple(nvp_jtag_tap_event, e); + LOG_DEBUG("JTAG tap: %s event: %d (%s)\n\taction: %s", + tap->dotted_name, e, nvp->name, + Jim_GetString(jteap->body, NULL)); + + if (Jim_EvalObj(interp, jteap->body) != JIM_OK) + { + Jim_PrintErrorMessage(interp); + continue; + } + + switch (e) + { + case JTAG_TAP_EVENT_ENABLE: + case JTAG_TAP_EVENT_DISABLE: + /* NOTE: we currently assume the handlers + * can't fail. Right here is where we should + * really be verifying the scan chains ... + */ + tap->enabled = (e == JTAG_TAP_EVENT_ENABLE); + LOG_INFO("JTAG tap: %s %s", tap->dotted_name, + tap->enabled ? "enabled" : "disabled"); + break; + default: + break; } } } - -static int jim_jtag_command(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +static int jim_jtag_interface(Jim_Interp *interp, int argc, Jim_Obj *const *argv) { Jim_GetOptInfo goi; - int e; - Jim_Nvp *n; - Jim_Obj *o; - struct command_context *context; - - enum { - JTAG_CMD_INTERFACE, - JTAG_CMD_INIT, - JTAG_CMD_INIT_RESET, - JTAG_CMD_NEWTAP, - JTAG_CMD_TAPENABLE, - JTAG_CMD_TAPDISABLE, - JTAG_CMD_TAPISENABLED, - JTAG_CMD_CONFIGURE, - JTAG_CMD_CGET, - JTAG_CMD_NAMES, - }; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); - const Jim_Nvp jtag_cmds[] = { - { .name = "interface" , .value = JTAG_CMD_INTERFACE }, - { .name = "arp_init" , .value = JTAG_CMD_INIT }, - { .name = "arp_init-reset", .value = JTAG_CMD_INIT_RESET }, - { .name = "newtap" , .value = JTAG_CMD_NEWTAP }, - { .name = "tapisenabled" , .value = JTAG_CMD_TAPISENABLED }, - { .name = "tapenable" , .value = JTAG_CMD_TAPENABLE }, - { .name = "tapdisable" , .value = JTAG_CMD_TAPDISABLE }, - { .name = "configure" , .value = JTAG_CMD_CONFIGURE }, - { .name = "cget" , .value = JTAG_CMD_CGET }, - { .name = "names" , .value = JTAG_CMD_NAMES }, - - { .name = NULL, .value = -1 }, - }; + /* return the name of the interface */ + /* TCL code might need to know the exact type... */ + /* FUTURE: we allow this as a means to "set" the interface. */ + if (goi.argc != 0) { + Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); + return JIM_ERR; + } + const char *name = jtag_interface ? jtag_interface->name : NULL; + Jim_SetResultString(goi.interp, name ? : "undefined", -1); + return JIM_OK; +} - context = Jim_GetAssocData(interp, "context"); - /* go past the command */ +static int jim_jtag_arp_init(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + Jim_GetOptInfo goi; Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); - - e = Jim_GetOpt_Nvp(&goi, jtag_cmds, &n); - if (e != JIM_OK) { - Jim_GetOpt_NvpUnknown(&goi, jtag_cmds, 0); - return e; + if (goi.argc != 0) { + Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); + return JIM_ERR; } - Jim_SetEmptyResult(goi.interp); - switch (n->value) { - case JTAG_CMD_INTERFACE: - /* return the name of the interface */ - /* TCL code might need to know the exact type... */ - /* FUTURE: we allow this as a means to "set" the interface. */ - if (goi.argc != 0) { - Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); - return JIM_ERR; - } - const char *name = jtag_interface ? jtag_interface->name : NULL; - Jim_SetResultString(goi.interp, name ? : "undefined", -1); - return JIM_OK; - case JTAG_CMD_INIT: - if (goi.argc != 0) { - Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); - return JIM_ERR; - } - e = jtag_init_inner(context); - if (e != ERROR_OK) { - Jim_SetResult_sprintf(goi.interp, "error: %d", e); - return JIM_ERR; - } - return JIM_OK; - case JTAG_CMD_INIT_RESET: - if (goi.argc != 0) { - Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); - return JIM_ERR; - } - e = jtag_init_reset(context); - if (e != ERROR_OK) { - Jim_SetResult_sprintf(goi.interp, "error: %d", e); - return JIM_ERR; - } - return JIM_OK; - case JTAG_CMD_NEWTAP: - return jim_newtap_cmd(&goi); - break; - case JTAG_CMD_TAPISENABLED: - case JTAG_CMD_TAPENABLE: - case JTAG_CMD_TAPDISABLE: - if (goi.argc != 1) { - Jim_SetResultString(goi.interp, "Too many parameters",-1); - return JIM_ERR; - } + struct command_context *context = Jim_GetAssocData(interp, "context"); + int e = jtag_init_inner(context); + if (e != ERROR_OK) { + Jim_SetResult_sprintf(goi.interp, "error: %d", e); + return JIM_ERR; + } + return JIM_OK; +} - { - struct jtag_tap *t; +static int jim_jtag_arp_init_reset(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + Jim_GetOptInfo goi; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); + if (goi.argc != 0) { + Jim_WrongNumArgs(goi.interp, 1, goi.argv-1, "(no params)"); + return JIM_ERR; + } + struct command_context *context = Jim_GetAssocData(interp, "context"); + int e = jtag_init_reset(context); + if (e != ERROR_OK) { + Jim_SetResult_sprintf(goi.interp, "error: %d", e); + return JIM_ERR; + } + return JIM_OK; +} - t = jtag_tap_by_jim_obj(goi.interp, goi.argv[0]); - if (t == NULL) - return JIM_ERR; +static int jim_jtag_newtap(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + Jim_GetOptInfo goi; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); + return jim_newtap_cmd(&goi); +} - switch (n->value) { - case JTAG_CMD_TAPISENABLED: - break; - case JTAG_CMD_TAPENABLE: - if (t->enabled) - break; - jtag_tap_handle_event(t, JTAG_TAP_EVENT_ENABLE); - if (!t->enabled) - break; - - /* FIXME add JTAG sanity checks, w/o TLR - * - scan chain length grew by one (this) - * - IDs and IR lengths are as expected - */ - - jtag_call_event_callbacks(JTAG_TAP_EVENT_ENABLE); - break; - case JTAG_CMD_TAPDISABLE: - if (!t->enabled) - break; - jtag_tap_handle_event(t, JTAG_TAP_EVENT_DISABLE); - if (t->enabled) - break; - - /* FIXME add JTAG sanity checks, w/o TLR - * - scan chain length shrank by one (this) - * - IDs and IR lengths are as expected - */ - - jtag_call_event_callbacks(JTAG_TAP_EVENT_DISABLE); - break; - } - e = t->enabled; - Jim_SetResult(goi.interp, Jim_NewIntObj(goi.interp, e)); - return JIM_OK; - } - break; +static bool jtag_tap_enable(struct jtag_tap *t) +{ + if (t->enabled) + return false; + jtag_tap_handle_event(t, JTAG_TAP_EVENT_ENABLE); + if (!t->enabled) + return false; - case JTAG_CMD_CGET: - if (goi.argc < 2) { - Jim_WrongNumArgs(goi.interp, 0, NULL, - "cget tap_name queryparm"); - return JIM_ERR; - } + /* FIXME add JTAG sanity checks, w/o TLR + * - scan chain length grew by one (this) + * - IDs and IR lengths are as expected + */ + jtag_call_event_callbacks(JTAG_TAP_EVENT_ENABLE); + return true; +} +static bool jtag_tap_disable(struct jtag_tap *t) +{ + if (!t->enabled) + return false; + jtag_tap_handle_event(t, JTAG_TAP_EVENT_DISABLE); + if (t->enabled) + return false; - { - struct jtag_tap *t; + /* FIXME add JTAG sanity checks, w/o TLR + * - scan chain length shrank by one (this) + * - IDs and IR lengths are as expected + */ + jtag_call_event_callbacks(JTAG_TAP_EVENT_DISABLE); + return true; +} - Jim_GetOpt_Obj(&goi, &o); - t = jtag_tap_by_jim_obj(goi.interp, o); - if (t == NULL) { - return JIM_ERR; - } +static int jim_jtag_tap_enabler(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + const char *cmd_name = Jim_GetString(argv[0], NULL); + Jim_GetOptInfo goi; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); + if (goi.argc != 1) { + Jim_SetResult_sprintf(goi.interp, "usage: %s ", cmd_name); + return JIM_ERR; + } - goi.isconfigure = 0; - return jtag_tap_configure_cmd(&goi, t); - } - break; + struct jtag_tap *t; - case JTAG_CMD_CONFIGURE: - if (goi.argc < 3) { - Jim_WrongNumArgs(goi.interp, 0, NULL, - "configure tap_name attribute value ..."); - return JIM_ERR; - } + t = jtag_tap_by_jim_obj(goi.interp, goi.argv[0]); + if (t == NULL) + return JIM_ERR; - { - struct jtag_tap *t; + if (strcasecmp(cmd_name, "tapisenabled") == 0) { + // do nothing, just return the value + } else if (strcasecmp(cmd_name, "tapenable") == 0) { + if (!jtag_tap_enable(t)) + LOG_WARNING("failed to disable tap"); + } else if (strcasecmp(cmd_name, "tapdisable") == 0) { + if (!jtag_tap_disable(t)) + LOG_WARNING("failed to disable tap"); + } else { + LOG_ERROR("command '%s' unknown", cmd_name); + return JIM_ERR; + } + bool e = t->enabled; + Jim_SetResult(goi.interp, Jim_NewIntObj(goi.interp, e)); + return JIM_OK; +} - Jim_GetOpt_Obj(&goi, &o); - t = jtag_tap_by_jim_obj(goi.interp, o); - if (t == NULL) { - return JIM_ERR; - } +static int jim_jtag_configure(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + const char *cmd_name = Jim_GetString(argv[0], NULL); + Jim_GetOptInfo goi; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); + goi.isconfigure = !strcmp(cmd_name, "configure"); + if (goi.argc < 2 + goi.isconfigure) { + Jim_WrongNumArgs(goi.interp, 0, NULL, + " ..."); + return JIM_ERR; + } - goi.isconfigure = 1; - return jtag_tap_configure_cmd(&goi, t); - } - break; + struct jtag_tap *t; - case JTAG_CMD_NAMES: - if (goi.argc != 0) { - Jim_WrongNumArgs(goi.interp, 1, goi.argv, "Too many parameters"); - return JIM_ERR; - } - Jim_SetResult(goi.interp, Jim_NewListObj(goi.interp, NULL, 0)); - { - struct jtag_tap *tap; + Jim_Obj *o; + Jim_GetOpt_Obj(&goi, &o); + t = jtag_tap_by_jim_obj(goi.interp, o); + if (t == NULL) { + return JIM_ERR; + } - for (tap = jtag_all_taps(); tap; tap = tap->next_tap) { - Jim_ListAppendElement(goi.interp, - Jim_GetResult(goi.interp), - Jim_NewStringObj(goi.interp, - tap->dotted_name, -1)); - } - return JIM_OK; - } - break; + return jtag_tap_configure_cmd(&goi, t); +} +static int jim_jtag_names(Jim_Interp *interp, int argc, Jim_Obj *const *argv) +{ + Jim_GetOptInfo goi; + Jim_GetOpt_Setup(&goi, interp, argc-1, argv + 1); + if (goi.argc != 0) { + Jim_WrongNumArgs(goi.interp, 1, goi.argv, "Too many parameters"); + return JIM_ERR; } + Jim_SetResult(goi.interp, Jim_NewListObj(goi.interp, NULL, 0)); + struct jtag_tap *tap; - return JIM_ERR; + for (tap = jtag_all_taps(); tap; tap = tap->next_tap) { + Jim_ListAppendElement(goi.interp, + Jim_GetResult(goi.interp), + Jim_NewStringObj(goi.interp, + tap->dotted_name, -1)); + } + return JIM_OK; } +static const struct command_registration jtag_subcommand_handlers[] = { + { + .name = "interface", + .mode = COMMAND_ANY, + .jim_handler = &jim_jtag_interface, + .help = "Returns the selected interface", + }, + { + .name = "arp_init", + .mode = COMMAND_ANY, + .jim_handler = &jim_jtag_arp_init, + }, + { + .name = "arp_init-reset", + .mode = COMMAND_ANY, + .jim_handler = &jim_jtag_arp_init_reset, + }, + { + .name = "newtap", + .mode = COMMAND_CONFIG, + .jim_handler = &jim_jtag_newtap, + .help = "Create a new TAP instance", + .usage = " -irlen [-ircapture ] " + "[-irmask ] [-enable|-disable]", + }, + { + .name = "tapisenabled", + .mode = COMMAND_EXEC, + .jim_handler = &jim_jtag_tap_enabler, + .help = "Returns a integer indicating TAP state (0/1)", + .usage = "", + }, + { + .name = "tapenable", + .mode = COMMAND_EXEC, + .jim_handler = &jim_jtag_tap_enabler, + .help = "Enable the specified TAP", + .usage = "", + }, + { + .name = "tapdisable", + .mode = COMMAND_EXEC, + .jim_handler = &jim_jtag_tap_enabler, + .help = "Enable the specified TAP", + .usage = "", + }, + { + .name = "configure", + .mode = COMMAND_EXEC, + .jim_handler = &jim_jtag_configure, + .help = "Enable the specified TAP", + .usage = " [ ...]", + }, + { + .name = "cget", + .mode = COMMAND_EXEC, + .jim_handler = &jim_jtag_configure, + .help = "Enable the specified TAP", + .usage = " [ ...]", + }, + { + .name = "names", + .mode = COMMAND_ANY, + .jim_handler = &jim_jtag_names, + .help = "Returns list of all JTAG tap names", + }, + { + .chain = jtag_command_handlers_to_move, + }, + COMMAND_REGISTRATION_DONE +}; void jtag_notify_event(enum jtag_event event) { @@ -608,11 +892,11 @@ COMMAND_HANDLER(handle_interface_list_command) if (strcmp(CMD_NAME, "interface_list") == 0 && CMD_ARGC > 0) return ERROR_COMMAND_SYNTAX_ERROR; - command_print(cmd_ctx, "The following JTAG interfaces are available:"); + command_print(CMD_CTX, "The following JTAG interfaces are available:"); for (unsigned i = 0; NULL != jtag_interfaces[i]; i++) { const char *name = jtag_interfaces[i]->name; - command_print(cmd_ctx, "%u: %s", i + 1, name); + command_print(CMD_CTX, "%u: %s", i + 1, name); } return ERROR_OK; @@ -636,9 +920,13 @@ COMMAND_HANDLER(handle_interface_command) if (strcmp(CMD_ARGV[0], jtag_interfaces[i]->name) != 0) continue; - int retval = jtag_interfaces[i]->register_commands(cmd_ctx); - if (ERROR_OK != retval) + if (NULL != jtag_interfaces[i]->commands) + { + int retval = register_commands(CMD_CTX, NULL, + jtag_interfaces[i]->commands); + if (ERROR_OK != retval) return retval; + } jtag_interface = jtag_interfaces[i]; @@ -667,8 +955,8 @@ COMMAND_HANDLER(handle_scan_chain_command) struct jtag_tap *tap; tap = jtag_all_taps(); - command_print(cmd_ctx, " TapName | Enabled | IdCode Expected IrLen IrCap IrMask Instr "); - command_print(cmd_ctx, "---|--------------------|---------|------------|------------|------|------|------|---------"); + command_print(CMD_CTX, " TapName | Enabled | IdCode Expected IrLen IrCap IrMask Instr "); + command_print(CMD_CTX, "---|--------------------|---------|------------|------------|------|------|------|---------"); while (tap) { uint32_t expected, expected_mask, cur_instr, ii; @@ -676,7 +964,7 @@ COMMAND_HANDLER(handle_scan_chain_command) expected_mask = buf_get_u32(tap->expected_mask, 0, tap->ir_length); cur_instr = buf_get_u32(tap->cur_instr, 0, tap->ir_length); - command_print(cmd_ctx, + command_print(CMD_CTX, "%2d | %-18s | %c | 0x%08x | 0x%08x | 0x%02x | 0x%02x | 0x%02x | 0x%02x", tap->abs_chain_position, tap->dotted_name, @@ -689,7 +977,7 @@ COMMAND_HANDLER(handle_scan_chain_command) (unsigned int)(cur_instr)); for (ii = 1; ii < tap->expected_ids_cnt; ii++) { - command_print(cmd_ctx, " | | | | 0x%08x | | | | ", + command_print(CMD_CTX, " | | | | 0x%08x | | | | ", (unsigned int)(tap->expected_ids[ii])); } @@ -888,7 +1176,7 @@ next: modes[4] = ""; } - command_print(cmd_ctx, "%s %s%s%s%s", + command_print(CMD_CTX, "%s %s%s%s%s", modes[0], modes[1], modes[2], modes[3], modes[4]); @@ -906,7 +1194,7 @@ COMMAND_HANDLER(handle_jtag_nsrst_delay_command) jtag_set_nsrst_delay(delay); } - command_print(cmd_ctx, "jtag_nsrst_delay: %u", jtag_get_nsrst_delay()); + command_print(CMD_CTX, "jtag_nsrst_delay: %u", jtag_get_nsrst_delay()); return ERROR_OK; } @@ -921,7 +1209,7 @@ COMMAND_HANDLER(handle_jtag_ntrst_delay_command) jtag_set_ntrst_delay(delay); } - command_print(cmd_ctx, "jtag_ntrst_delay: %u", jtag_get_ntrst_delay()); + command_print(CMD_CTX, "jtag_ntrst_delay: %u", jtag_get_ntrst_delay()); return ERROR_OK; } @@ -936,7 +1224,7 @@ COMMAND_HANDLER(handle_jtag_nsrst_assert_width_command) jtag_set_nsrst_assert_width(delay); } - command_print(cmd_ctx, "jtag_nsrst_assert_width: %u", jtag_get_nsrst_assert_width()); + command_print(CMD_CTX, "jtag_nsrst_assert_width: %u", jtag_get_nsrst_assert_width()); return ERROR_OK; } @@ -951,7 +1239,7 @@ COMMAND_HANDLER(handle_jtag_ntrst_assert_width_command) jtag_set_ntrst_assert_width(delay); } - command_print(cmd_ctx, "jtag_ntrst_assert_width: %u", jtag_get_ntrst_assert_width()); + command_print(CMD_CTX, "jtag_ntrst_assert_width: %u", jtag_get_ntrst_assert_width()); return ERROR_OK; } @@ -977,9 +1265,9 @@ COMMAND_HANDLER(handle_jtag_khz_command) return retval; if (cur_speed) - command_print(cmd_ctx, "%d kHz", cur_speed); + command_print(CMD_CTX, "%d kHz", cur_speed); else - command_print(cmd_ctx, "RCLK - adaptive"); + command_print(CMD_CTX, "RCLK - adaptive"); return retval; } @@ -1006,9 +1294,9 @@ COMMAND_HANDLER(handle_jtag_rclk_command) return retval; if (cur_khz) - command_print(cmd_ctx, "RCLK not supported - fallback to %d kHz", cur_khz); + command_print(CMD_CTX, "RCLK not supported - fallback to %d kHz", cur_khz); else - command_print(cmd_ctx, "RCLK - adaptive"); + command_print(CMD_CTX, "RCLK - adaptive"); return retval; } @@ -1034,7 +1322,7 @@ COMMAND_HANDLER(handle_jtag_reset_command) else return ERROR_COMMAND_SYNTAX_ERROR; - if (jtag_interface_init(cmd_ctx) != ERROR_OK) + if (jtag_interface_init(CMD_CTX) != ERROR_OK) return ERROR_JTAG_INIT_FAILED; jtag_add_reset(trst, srst); @@ -1060,20 +1348,6 @@ COMMAND_HANDLER(handle_runtest_command) * Not surprisingly, this is the same constraint as SVF; the "irscan" * and "drscan" commands are a write-only subset of what SVF provides. */ -static bool scan_is_safe(tap_state_t state) -{ - switch (state) - { - case TAP_RESET: - case TAP_IDLE: - case TAP_DRPAUSE: - case TAP_IRPAUSE: - return true; - default: - return false; - } -} - COMMAND_HANDLER(handle_irscan_command) { @@ -1122,7 +1396,7 @@ COMMAND_HANDLER(handle_irscan_command) for (j = 0; j < i; j++) free(fields[j].out_value); free(fields); - command_print(cmd_ctx, "Tap: %s unknown", CMD_ARGV[i*2]); + command_print(CMD_CTX, "Tap: %s unknown", CMD_ARGV[i*2]); return ERROR_FAIL; } @@ -1156,199 +1430,6 @@ error_return: return retval; } -static int Jim_Command_drscan(Jim_Interp *interp, int argc, Jim_Obj *const *args) -{ - int retval; - struct scan_field *fields; - int num_fields; - int field_count = 0; - int i, e; - struct jtag_tap *tap; - tap_state_t endstate; - - /* args[1] = device - * args[2] = num_bits - * args[3] = hex string - * ... repeat num bits and hex string ... - * - * .. optionally: - * args[N-2] = "-endstate" - * args[N-1] = statename - */ - if ((argc < 4) || ((argc % 2) != 0)) - { - Jim_WrongNumArgs(interp, 1, args, "wrong arguments"); - return JIM_ERR; - } - - endstate = TAP_IDLE; - - script_debug(interp, "drscan", argc, args); - - /* validate arguments as numbers */ - e = JIM_OK; - for (i = 2; i < argc; i += 2) - { - long bits; - const char *cp; - - e = Jim_GetLong(interp, args[i], &bits); - /* If valid - try next arg */ - if (e == JIM_OK) { - continue; - } - - /* Not valid.. are we at the end? */ - if (((i + 2) != argc)) { - /* nope, then error */ - return e; - } - - /* it could be: "-endstate FOO" - * e.g. DRPAUSE so we can issue more instructions - * before entering RUN/IDLE and executing them. - */ - - /* get arg as a string. */ - cp = Jim_GetString(args[i], NULL); - /* is it the magic? */ - if (0 == strcmp("-endstate", cp)) { - /* is the statename valid? */ - cp = Jim_GetString(args[i + 1], NULL); - - /* see if it is a valid state name */ - endstate = tap_state_by_name(cp); - if (endstate < 0) { - /* update the error message */ - Jim_SetResult_sprintf(interp,"endstate: %s invalid", cp); - } else { - if (!scan_is_safe(endstate)) - LOG_WARNING("drscan with unsafe " - "endstate \"%s\"", cp); - - /* valid - so clear the error */ - e = JIM_OK; - /* and remove the last 2 args */ - argc -= 2; - } - } - - /* Still an error? */ - if (e != JIM_OK) { - return e; /* too bad */ - } - } /* validate args */ - - tap = jtag_tap_by_jim_obj(interp, args[1]); - if (tap == NULL) { - return JIM_ERR; - } - - num_fields = (argc-2)/2; - fields = malloc(sizeof(struct scan_field) * num_fields); - for (i = 2; i < argc; i += 2) - { - long bits; - int len; - const char *str; - - Jim_GetLong(interp, args[i], &bits); - str = Jim_GetString(args[i + 1], &len); - - fields[field_count].tap = tap; - fields[field_count].num_bits = bits; - fields[field_count].out_value = malloc(DIV_ROUND_UP(bits, 8)); - str_to_buf(str, len, fields[field_count].out_value, bits, 0); - fields[field_count].in_value = fields[field_count].out_value; - field_count++; - } - - jtag_add_dr_scan(num_fields, fields, endstate); - - retval = jtag_execute_queue(); - if (retval != ERROR_OK) - { - Jim_SetResultString(interp, "drscan: jtag execute failed",-1); - return JIM_ERR; - } - - field_count = 0; - Jim_Obj *list = Jim_NewListObj(interp, NULL, 0); - for (i = 2; i < argc; i += 2) - { - long bits; - char *str; - - Jim_GetLong(interp, args[i], &bits); - str = buf_to_str(fields[field_count].in_value, bits, 16); - free(fields[field_count].out_value); - - Jim_ListAppendElement(interp, list, Jim_NewStringObj(interp, str, strlen(str))); - free(str); - field_count++; - } - - Jim_SetResult(interp, list); - - free(fields); - - return JIM_OK; -} - - -static int Jim_Command_pathmove(Jim_Interp *interp, int argc, Jim_Obj *const *args) -{ - tap_state_t states[8]; - - if ((argc < 2) || ((size_t)argc > (sizeof(states)/sizeof(*states) + 1))) - { - Jim_WrongNumArgs(interp, 1, args, "wrong arguments"); - return JIM_ERR; - } - - script_debug(interp, "pathmove", argc, args); - - int i; - for (i = 0; i < argc-1; i++) - { - const char *cp; - cp = Jim_GetString(args[i + 1], NULL); - states[i] = tap_state_by_name(cp); - if (states[i] < 0) - { - /* update the error message */ - Jim_SetResult_sprintf(interp,"endstate: %s invalid", cp); - return JIM_ERR; - } - } - - if ((jtag_add_statemove(states[0]) != ERROR_OK) || (jtag_execute_queue()!= ERROR_OK)) - { - Jim_SetResultString(interp, "pathmove: jtag execute failed",-1); - return JIM_ERR; - } - - jtag_add_pathmove(argc-2, states + 1); - - if (jtag_execute_queue()!= ERROR_OK) - { - Jim_SetResultString(interp, "pathmove: failed",-1); - return JIM_ERR; - } - - return JIM_OK; -} - - -static int Jim_Command_flush_count(Jim_Interp *interp, int argc, Jim_Obj *const *args) -{ - script_debug(interp, "flush_count", argc, args); - - Jim_SetResult(interp, Jim_NewIntObj(interp, jtag_get_flush_queue_count())); - - return JIM_OK; -} - COMMAND_HANDLER(handle_verify_ircapture_command) { @@ -1357,16 +1438,13 @@ COMMAND_HANDLER(handle_verify_ircapture_command) if (CMD_ARGC == 1) { - if (strcmp(CMD_ARGV[0], "enable") == 0) - jtag_set_verify_capture_ir(true); - else if (strcmp(CMD_ARGV[0], "disable") == 0) - jtag_set_verify_capture_ir(false); - else - return ERROR_COMMAND_SYNTAX_ERROR; + bool enable; + COMMAND_PARSE_ENABLE(CMD_ARGV[0], enable); + jtag_set_verify_capture_ir(enable); } const char *status = jtag_will_verify_capture_ir() ? "enabled": "disabled"; - command_print(cmd_ctx, "verify Capture-IR is %s", status); + command_print(CMD_CTX, "verify Capture-IR is %s", status); return ERROR_OK; } @@ -1378,16 +1456,13 @@ COMMAND_HANDLER(handle_verify_jtag_command) if (CMD_ARGC == 1) { - if (strcmp(CMD_ARGV[0], "enable") == 0) - jtag_set_verify(true); - else if (strcmp(CMD_ARGV[0], "disable") == 0) - jtag_set_verify(false); - else - return ERROR_COMMAND_SYNTAX_ERROR; + bool enable; + COMMAND_PARSE_ENABLE(CMD_ARGV[0], enable); + jtag_set_verify(enable); } const char *status = jtag_will_verify() ? "enabled": "disabled"; - command_print(cmd_ctx, "verify jtag capture is %s", status); + command_print(CMD_CTX, "verify jtag capture is %s", status); return ERROR_OK; } @@ -1410,95 +1485,140 @@ COMMAND_HANDLER(handle_tms_sequence_command) tap_use_new_tms_table(use_new_table); } - command_print(cmd_ctx, "tms sequence is %s", + command_print(CMD_CTX, "tms sequence is %s", tap_uses_new_tms_table() ? "short": "long"); return ERROR_OK; } -int jtag_register_commands(struct command_context *cmd_ctx) -{ - register_jim(cmd_ctx, "jtag", jim_jtag_command, - "perform jtag tap actions"); - - register_command(cmd_ctx, NULL, "interface", - handle_interface_command, COMMAND_CONFIG, - "try to configure interface"); - register_command(cmd_ctx, NULL, "interface_list", - &handle_interface_list_command, COMMAND_ANY, - "list all built-in interfaces"); - - register_command(cmd_ctx, NULL, "jtag_khz", - handle_jtag_khz_command, COMMAND_ANY, - "set maximum jtag speed (if supported); " - "parameter is maximum khz, or 0 for adaptive clocking (RTCK)."); - register_command(cmd_ctx, NULL, "jtag_rclk", - handle_jtag_rclk_command, COMMAND_ANY, - "fallback_speed_khz - set JTAG speed to RCLK or use fallback speed"); - register_command(cmd_ctx, NULL, "reset_config", - handle_reset_config_command, COMMAND_ANY, - "reset_config " - "[none|trst_only|srst_only|trst_and_srst] " +static const struct command_registration jtag_command_handlers[] = { + { + .name = "interface", + .handler = &handle_interface_command, + .mode = COMMAND_CONFIG, + .help = "select a JTAG interface", + .usage = "", + }, + { + .name = "interface_list", + .handler = &handle_interface_list_command, + .mode = COMMAND_ANY, + .help = "list all built-in interfaces", + }, + { + .name = "jtag_khz", + .handler = &handle_jtag_khz_command, + .mode = COMMAND_ANY, + .help = "set maximum jtag speed (if supported)", + .usage = "", + }, + { + .name = "jtag_rclk", + .handler = &handle_jtag_rclk_command, + .mode = COMMAND_ANY, + .help = "set JTAG speed to RCLK or use fallback speed", + .usage = "", + }, + { + .name = "reset_config", + .handler = &handle_reset_config_command, + .mode = COMMAND_ANY, + .help = "configure JTAG reset behavior", + .usage = "[none|trst_only|srst_only|trst_and_srst] " "[srst_pulls_trst|trst_pulls_srst|combined|separate] " "[srst_gates_jtag|srst_nogate] " "[trst_push_pull|trst_open_drain] " - "[srst_push_pull|srst_open_drain]"); - - register_command(cmd_ctx, NULL, "jtag_nsrst_delay", - handle_jtag_nsrst_delay_command, COMMAND_ANY, - "jtag_nsrst_delay " - "- delay after deasserting srst in ms"); - register_command(cmd_ctx, NULL, "jtag_ntrst_delay", - handle_jtag_ntrst_delay_command, COMMAND_ANY, - "jtag_ntrst_delay " - "- delay after deasserting trst in ms"); - - register_command(cmd_ctx, NULL, "jtag_nsrst_assert_width", - handle_jtag_nsrst_assert_width_command, COMMAND_ANY, - "jtag_nsrst_assert_width " - "- delay after asserting srst in ms"); - register_command(cmd_ctx, NULL, "jtag_ntrst_assert_width", - handle_jtag_ntrst_assert_width_command, COMMAND_ANY, - "jtag_ntrst_assert_width " - "- delay after asserting trst in ms"); - - register_command(cmd_ctx, NULL, "scan_chain", - handle_scan_chain_command, COMMAND_EXEC, - "print current scan chain configuration"); - - register_command(cmd_ctx, NULL, "jtag_reset", - handle_jtag_reset_command, COMMAND_EXEC, - "toggle reset lines "); - register_command(cmd_ctx, NULL, "runtest", - handle_runtest_command, COMMAND_EXEC, - "move to Run-Test/Idle, and execute "); - register_command(cmd_ctx, NULL, "irscan", - handle_irscan_command, COMMAND_EXEC, - "execute IR scan [dev2] [instr2] ..."); - - register_jim(cmd_ctx, "drscan", Jim_Command_drscan, - "execute DR scan " - " ..."); - - register_jim(cmd_ctx, "flush_count", Jim_Command_flush_count, - "returns number of times the JTAG queue has been flushed"); - - register_jim(cmd_ctx, "pathmove", Jim_Command_pathmove, - ",,... " - "- move JTAG to state1 then to state2, state3, etc."); - - register_command(cmd_ctx, NULL, "verify_ircapture", - handle_verify_ircapture_command, COMMAND_ANY, - "verify value captured during Capture-IR "); - register_command(cmd_ctx, NULL, "verify_jtag", - handle_verify_jtag_command, COMMAND_ANY, - "verify value capture "); - - register_command(cmd_ctx, NULL, "tms_sequence", - handle_tms_sequence_command, COMMAND_ANY, - "choose short(default) or long tms_sequence "); + "[srst_push_pull|srst_open_drain]", + }, + { + .name = "jtag_nsrst_delay", + .handler = &handle_jtag_nsrst_delay_command, + .mode = COMMAND_ANY, + .help = "delay after deasserting srst in ms", + .usage = "", + }, + { + .name = "jtag_ntrst_delay", + .handler = &handle_jtag_ntrst_delay_command, + .mode = COMMAND_ANY, + .help = "delay after deasserting trst in ms", + .usage = "" + }, + { + .name = "jtag_nsrst_assert_width", + .handler = &handle_jtag_nsrst_assert_width_command, + .mode = COMMAND_ANY, + .help = "delay after asserting srst in ms", + .usage = "" + }, + { + .name = "jtag_ntrst_assert_width", + .handler = &handle_jtag_ntrst_assert_width_command, + .mode = COMMAND_ANY, + .help = "delay after asserting trst in ms", + .usage = "" + }, + { + .name = "scan_chain", + .handler = &handle_scan_chain_command, + .mode = COMMAND_EXEC, + .help = "print current scan chain configuration", + }, + { + .name = "jtag_reset", + .handler = &handle_jtag_reset_command, + .mode = COMMAND_EXEC, + .help = "toggle reset lines", + .usage = " ", + }, + { + .name = "runtest", + .handler = &handle_runtest_command, + .mode = COMMAND_EXEC, + .help = "move to Run-Test/Idle, and execute ", + .usage = "" + }, + { + .name = "irscan", + .handler = &handle_irscan_command, + .mode = COMMAND_EXEC, + .help = "execute IR scan", + .usage = " [dev2] [instr2] ...", + }, + { + .name = "verify_ircapture", + .handler = &handle_verify_ircapture_command, + .mode = COMMAND_ANY, + .help = "verify value captured during Capture-IR", + .usage = "", + }, + { + .name = "verify_jtag", + .handler = &handle_verify_jtag_command, + .mode = COMMAND_ANY, + .help = "verify value capture", + .usage = "", + }, + { + .name = "tms_sequence", + .handler = &handle_tms_sequence_command, + .mode = COMMAND_ANY, + .help = "choose short(default) or long tms_sequence", + .usage = "", + }, + { + .name = "jtag", + .mode = COMMAND_ANY, + .help = "perform jtag tap actions", - return ERROR_OK; + .chain = jtag_subcommand_handlers, + }, + { + .chain = jtag_command_handlers_to_move, + }, + COMMAND_REGISTRATION_DONE +}; +int jtag_register_commands(struct command_context *cmd_ctx) +{ + return register_commands(cmd_ctx, NULL, jtag_command_handlers); } - -