X-Git-Url: https://review.openocd.org/gitweb?p=openocd.git;a=blobdiff_plain;f=src%2Fjtag%2Fdummy.c;h=b4aa94154501a080da8b8bd86f375d20250f3148;hp=9d4a436b597dfc8da0e04e2c5af9ece950c92706;hb=aea6815462d3302f7f8b6576f59320d5f5985642;hpb=a3e84343e202eb70ebce0ec1e8b15d7f9ce57f00 diff --git a/src/jtag/dummy.c b/src/jtag/dummy.c index 9d4a436b59..b4aa941545 100644 --- a/src/jtag/dummy.c +++ b/src/jtag/dummy.c @@ -32,7 +32,7 @@ static int dummy_clock; /* edge detector */ static int clock_count; /* count clocks in any stable state, only stable states */ -static u32 dummy_data; +static uint32_t dummy_data; static int dummy_speed(int speed); @@ -77,7 +77,7 @@ static bitbang_interface_t dummy_bitbang = static int dummy_read(void) { int data = 1 & dummy_data; - dummy_data = (dummy_data >> 1) | (1<<31); + dummy_data = (dummy_data >> 1) | (1 << 31); return data; } @@ -85,16 +85,16 @@ static int dummy_read(void) static void dummy_write(int tck, int tms, int tdi) { /* TAP standard: "state transitions occur on rising edge of clock" */ - if( tck != dummy_clock ) + if ( tck != dummy_clock ) { - if( tck ) + if ( tck ) { tap_state_t old_state = dummy_state; dummy_state = tap_state_transition( old_state, tms ); - if( old_state != dummy_state ) + if ( old_state != dummy_state ) { - if( clock_count ) + if ( clock_count ) { LOG_DEBUG("dummy_tap: %d stable clocks", clock_count); clock_count = 0; @@ -103,7 +103,7 @@ static void dummy_write(int tck, int tms, int tdi) LOG_DEBUG("dummy_tap: %s", tap_state_name(dummy_state) ); #if defined(DEBUG) - if(dummy_state == TAP_DRCAPTURE) + if (dummy_state == TAP_DRCAPTURE) dummy_data = 0x01255043; #endif } @@ -123,7 +123,7 @@ static void dummy_reset(int trst, int srst) { dummy_clock = 0; - if (trst || (srst && (jtag_reset_config & RESET_SRST_PULLS_TRST))) + if (trst || (srst && (jtag_get_reset_config() & RESET_SRST_PULLS_TRST))) dummy_state = TAP_RESET; LOG_DEBUG("reset to: %s", tap_state_name(dummy_state) );