adi_v5: Rename AP_REG_* to MEM_AP_REG_* and add LA support
[openocd.git] / src / target / arm_adi_v5.h
1 /***************************************************************************
2 * Copyright (C) 2006 by Magnus Lundin *
3 * lundin@mlu.mine.nu *
4 * *
5 * Copyright (C) 2008 by Spencer Oliver *
6 * spen@spen-soft.co.uk *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. *
22 ***************************************************************************/
23
24 #ifndef ARM_ADI_V5_H
25 #define ARM_ADI_V5_H
26
27 /**
28 * @file
29 * This defines formats and data structures used to talk to ADIv5 entities.
30 * Those include a DAP, different types of Debug Port (DP), and memory mapped
31 * resources accessed through a MEM-AP.
32 */
33
34 #include "arm_jtag.h"
35
36 /* FIXME remove these JTAG-specific decls when mem_ap_read_buf_u32()
37 * is no longer JTAG-specific
38 */
39 #define JTAG_DP_DPACC 0xA
40 #define JTAG_DP_APACC 0xB
41
42 /* three-bit ACK values for SWD access (sent LSB first) */
43 #define SWD_ACK_OK 0x1
44 #define SWD_ACK_WAIT 0x2
45 #define SWD_ACK_FAULT 0x4
46
47 #define DPAP_WRITE 0
48 #define DPAP_READ 1
49
50 #define BANK_REG(bank, reg) (((bank) << 4) | (reg))
51
52 /* A[3:0] for DP registers; A[1:0] are always zero.
53 * - JTAG accesses all of these via JTAG_DP_DPACC, except for
54 * IDCODE (JTAG_DP_IDCODE) and ABORT (JTAG_DP_ABORT).
55 * - SWD accesses these directly, sometimes needing SELECT.CTRLSEL
56 */
57 #define DP_IDCODE BANK_REG(0x0, 0x0) /* SWD: read */
58 #define DP_ABORT BANK_REG(0x0, 0x0) /* SWD: write */
59 #define DP_CTRL_STAT BANK_REG(0x0, 0x4) /* r/w */
60 #define DP_RESEND BANK_REG(0x0, 0x8) /* SWD: read */
61 #define DP_SELECT BANK_REG(0x0, 0x8) /* JTAG: r/w; SWD: write */
62 #define DP_RDBUFF BANK_REG(0x0, 0xC) /* read-only */
63 #define DP_WCR BANK_REG(0x1, 0x4) /* SWD: r/w */
64
65 #define WCR_TO_TRN(wcr) ((uint32_t)(1 + (3 & ((wcr)) >> 8))) /* 1..4 clocks */
66 #define WCR_TO_PRESCALE(wcr) ((uint32_t)(7 & ((wcr)))) /* impl defined */
67
68 /* Fields of the DP's AP ABORT register */
69 #define DAPABORT (1UL << 0)
70 #define STKCMPCLR (1UL << 1) /* SWD-only */
71 #define STKERRCLR (1UL << 2) /* SWD-only */
72 #define WDERRCLR (1UL << 3) /* SWD-only */
73 #define ORUNERRCLR (1UL << 4) /* SWD-only */
74
75 /* Fields of the DP's CTRL/STAT register */
76 #define CORUNDETECT (1UL << 0)
77 #define SSTICKYORUN (1UL << 1)
78 /* 3:2 - transaction mode (e.g. pushed compare) */
79 #define SSTICKYCMP (1UL << 4)
80 #define SSTICKYERR (1UL << 5)
81 #define READOK (1UL << 6) /* SWD-only */
82 #define WDATAERR (1UL << 7) /* SWD-only */
83 /* 11:8 - mask lanes for pushed compare or verify ops */
84 /* 21:12 - transaction counter */
85 #define CDBGRSTREQ (1UL << 26)
86 #define CDBGRSTACK (1UL << 27)
87 #define CDBGPWRUPREQ (1UL << 28)
88 #define CDBGPWRUPACK (1UL << 29)
89 #define CSYSPWRUPREQ (1UL << 30)
90 #define CSYSPWRUPACK (1UL << 31)
91
92 /* MEM-AP register addresses */
93 #define MEM_AP_REG_CSW 0x00
94 #define MEM_AP_REG_TAR 0x04
95 #define MEM_AP_REG_TAR64 0x08 /* RW: Large Physical Address Extension */
96 #define MEM_AP_REG_DRW 0x0C /* RW: Data Read/Write register */
97 #define MEM_AP_REG_BD0 0x10 /* RW: Banked Data register 0-3 */
98 #define MEM_AP_REG_BD1 0x14
99 #define MEM_AP_REG_BD2 0x18
100 #define MEM_AP_REG_BD3 0x1C
101 #define MEM_AP_REG_MBT 0x20 /* --: Memory Barrier Transfer register */
102 #define MEM_AP_REG_BASE64 0xF0 /* RO: Debug Base Address (LA) register */
103 #define MEM_AP_REG_CFG 0xF4 /* RO: Configuration register */
104 #define MEM_AP_REG_BASE 0xF8 /* RO: Debug Base Address register */
105 /* Generic AP register address */
106 #define AP_REG_IDR 0xFC /* RO: Identification Register */
107
108 /* Fields of the MEM-AP's CSW register */
109 #define CSW_8BIT 0
110 #define CSW_16BIT 1
111 #define CSW_32BIT 2
112 #define CSW_ADDRINC_MASK (3UL << 4)
113 #define CSW_ADDRINC_OFF 0UL
114 #define CSW_ADDRINC_SINGLE (1UL << 4)
115 #define CSW_ADDRINC_PACKED (2UL << 4)
116 #define CSW_DEVICE_EN (1UL << 6)
117 #define CSW_TRIN_PROG (1UL << 7)
118 #define CSW_SPIDEN (1UL << 23)
119 /* 30:24 - implementation-defined! */
120 #define CSW_HPROT (1UL << 25) /* ? */
121 #define CSW_MASTER_DEBUG (1UL << 29) /* ? */
122 #define CSW_SPROT (1UL << 30)
123 #define CSW_DBGSWENABLE (1UL << 31)
124
125 /**
126 * This represents an ARM Debug Interface (v5) Debug Access Port (DAP).
127 * A DAP has two types of component: one Debug Port (DP), which is a
128 * transport agent; and at least one Access Port (AP), controlling
129 * resource access. Most common is a MEM-AP, for memory access.
130 *
131 * There are two basic DP transports: JTAG, and ARM's low pin-count SWD.
132 * Accordingly, this interface is responsible for hiding the transport
133 * differences so upper layer code can largely ignore them.
134 *
135 * When the chip is implemented with JTAG-DP or SW-DP, the transport is
136 * fixed as JTAG or SWD, respectively. Chips incorporating SWJ-DP permit
137 * a choice made at board design time (by only using the SWD pins), or
138 * as part of setting up a debug session (if all the dual-role JTAG/SWD
139 * signals are available).
140 */
141 struct adiv5_dap {
142 const struct dap_ops *ops;
143
144 struct arm_jtag *jtag_info;
145 /* Control config */
146 uint32_t dp_ctrl_stat;
147
148 uint32_t apcsw[256];
149 uint32_t apsel;
150
151 /**
152 * Cache for DP_SELECT bits identifying the current AP. A DAP may
153 * connect to multiple APs, such as one MEM-AP for general access,
154 * another reserved for accessing debug modules, and a JTAG-DP.
155 * "-1" indicates no cached value.
156 */
157 uint32_t ap_current;
158
159 /**
160 * Cache for DP_SELECT bits identifying the current four-word AP
161 * register bank. This caches AP register addresss bits 7:4; JTAG
162 * and SWD access primitves pass address bits 3:2; bits 1:0 are zero.
163 * "-1" indicates no cached value.
164 */
165 uint32_t ap_bank_value;
166
167 /**
168 * Cache for DP_SELECT bits identifying the current four-word DP
169 * register bank. This caches DP register addresss bits 7:4; JTAG
170 * and SWD access primitves pass address bits 3:2; bits 1:0 are zero.
171 */
172 uint32_t dp_bank_value;
173
174 /**
175 * Cache for (MEM-AP) AP_REG_CSW register value. This is written to
176 * configure an access mode, such as autoincrementing AP_REG_TAR during
177 * word access. "-1" indicates no cached value.
178 */
179 uint32_t ap_csw_value;
180
181 /**
182 * Cache for (MEM-AP) AP_REG_TAR register value This is written to
183 * configure the address being read or written
184 * "-1" indicates no cached value.
185 */
186 uint32_t ap_tar_value;
187
188 /* information about current pending SWjDP-AHBAP transaction */
189 uint8_t ack;
190
191 /**
192 * Holds the pointer to the destination word for the last queued read,
193 * for use with posted AP read sequence optimization.
194 */
195 uint32_t *last_read;
196
197 /**
198 * Configures how many extra tck clocks are added after starting a
199 * MEM-AP access before we try to read its status (and/or result).
200 */
201 uint32_t memaccess_tck;
202
203 /* Size of TAR autoincrement block, ARM ADI Specification requires at least 10 bits */
204 uint32_t tar_autoincr_block;
205
206 /* true if packed transfers are supported by the MEM-AP */
207 bool packed_transfers;
208
209 /* true if unaligned memory access is not supported by the MEM-AP */
210 bool unaligned_access_bad;
211
212 /* The TI TMS470 and TMS570 series processors use a BE-32 memory ordering
213 * despite lack of support in the ARMv7 architecture. Memory access through
214 * the AHB-AP has strange byte ordering these processors, and we need to
215 * swizzle appropriately. */
216 bool ti_be_32_quirks;
217
218 /**
219 * Signals that an attempt to reestablish communication afresh
220 * should be performed before the next access.
221 */
222 bool do_reconnect;
223 };
224
225 /**
226 * Transport-neutral representation of queued DAP transactions, supporting
227 * both JTAG and SWD transports. All submitted transactions are logically
228 * queued, until the queue is executed by run(). Some implementations might
229 * execute transactions as soon as they're submitted, but no status is made
230 * available until run().
231 */
232 struct dap_ops {
233 /** If the DAP transport isn't SWD, it must be JTAG. Upper level
234 * code may need to care about the difference in some cases.
235 */
236 bool is_swd;
237
238 /** DP register read. */
239 int (*queue_dp_read)(struct adiv5_dap *dap, unsigned reg,
240 uint32_t *data);
241 /** DP register write. */
242 int (*queue_dp_write)(struct adiv5_dap *dap, unsigned reg,
243 uint32_t data);
244
245 /** AP register read. */
246 int (*queue_ap_read)(struct adiv5_dap *dap, unsigned reg,
247 uint32_t *data);
248 /** AP register write. */
249 int (*queue_ap_write)(struct adiv5_dap *dap, unsigned reg,
250 uint32_t data);
251
252 /** AP operation abort. */
253 int (*queue_ap_abort)(struct adiv5_dap *dap, uint8_t *ack);
254
255 /** Executes all queued DAP operations. */
256 int (*run)(struct adiv5_dap *dap);
257 };
258
259 /*
260 * Access Port types
261 */
262 enum ap_type {
263 AP_TYPE_AHB_AP = 0x01, /* AHB Memory-AP */
264 AP_TYPE_APB_AP = 0x02, /* APB Memory-AP */
265 AP_TYPE_JTAG_AP = 0x10 /* JTAG-AP - JTAG master for controlling other JTAG devices */
266 };
267
268 /**
269 * Queue a DP register read.
270 * Note that not all DP registers are readable; also, that JTAG and SWD
271 * have slight differences in DP register support.
272 *
273 * @param dap The DAP used for reading.
274 * @param reg The two-bit number of the DP register being read.
275 * @param data Pointer saying where to store the register's value
276 * (in host endianness).
277 *
278 * @return ERROR_OK for success, else a fault code.
279 */
280 static inline int dap_queue_dp_read(struct adiv5_dap *dap,
281 unsigned reg, uint32_t *data)
282 {
283 assert(dap->ops != NULL);
284 return dap->ops->queue_dp_read(dap, reg, data);
285 }
286
287 /**
288 * Queue a DP register write.
289 * Note that not all DP registers are writable; also, that JTAG and SWD
290 * have slight differences in DP register support.
291 *
292 * @param dap The DAP used for writing.
293 * @param reg The two-bit number of the DP register being written.
294 * @param data Value being written (host endianness)
295 *
296 * @return ERROR_OK for success, else a fault code.
297 */
298 static inline int dap_queue_dp_write(struct adiv5_dap *dap,
299 unsigned reg, uint32_t data)
300 {
301 assert(dap->ops != NULL);
302 return dap->ops->queue_dp_write(dap, reg, data);
303 }
304
305 /**
306 * Queue an AP register read.
307 *
308 * @param dap The DAP used for reading.
309 * @param reg The number of the AP register being read.
310 * @param data Pointer saying where to store the register's value
311 * (in host endianness).
312 *
313 * @return ERROR_OK for success, else a fault code.
314 */
315 static inline int dap_queue_ap_read(struct adiv5_dap *dap,
316 unsigned reg, uint32_t *data)
317 {
318 assert(dap->ops != NULL);
319 return dap->ops->queue_ap_read(dap, reg, data);
320 }
321
322 /**
323 * Queue an AP register write.
324 *
325 * @param dap The DAP used for writing.
326 * @param reg The number of the AP register being written.
327 * @param data Value being written (host endianness)
328 *
329 * @return ERROR_OK for success, else a fault code.
330 */
331 static inline int dap_queue_ap_write(struct adiv5_dap *dap,
332 unsigned reg, uint32_t data)
333 {
334 assert(dap->ops != NULL);
335 return dap->ops->queue_ap_write(dap, reg, data);
336 }
337
338 /**
339 * Queue an AP abort operation. The current AP transaction is aborted,
340 * including any update of the transaction counter. The AP is left in
341 * an unknown state (so it must be re-initialized). For use only after
342 * the AP has reported WAIT status for an extended period.
343 *
344 * @param dap The DAP used for writing.
345 * @param ack Pointer to where transaction status will be stored.
346 *
347 * @return ERROR_OK for success, else a fault code.
348 */
349 static inline int dap_queue_ap_abort(struct adiv5_dap *dap, uint8_t *ack)
350 {
351 assert(dap->ops != NULL);
352 return dap->ops->queue_ap_abort(dap, ack);
353 }
354
355 /**
356 * Perform all queued DAP operations, and clear any errors posted in the
357 * CTRL_STAT register when they are done. Note that if more than one AP
358 * operation will be queued, one of the first operations in the queue
359 * should probably enable CORUNDETECT in the CTRL/STAT register.
360 *
361 * @param dap The DAP used.
362 *
363 * @return ERROR_OK for success, else a fault code.
364 */
365 static inline int dap_run(struct adiv5_dap *dap)
366 {
367 assert(dap->ops != NULL);
368 return dap->ops->run(dap);
369 }
370
371 static inline int dap_dp_read_atomic(struct adiv5_dap *dap, unsigned reg,
372 uint32_t *value)
373 {
374 int retval;
375
376 retval = dap_queue_dp_read(dap, reg, value);
377 if (retval != ERROR_OK)
378 return retval;
379
380 return dap_run(dap);
381 }
382
383 static inline int dap_dp_poll_register(struct adiv5_dap *dap, unsigned reg,
384 uint32_t mask, uint32_t value, int timeout)
385 {
386 assert(timeout > 0);
387 assert((value & mask) == value);
388
389 int ret;
390 uint32_t regval;
391 LOG_DEBUG("DAP: poll %x, mask 0x%08" PRIx32 ", value 0x%08" PRIx32,
392 reg, mask, value);
393 do {
394 ret = dap_dp_read_atomic(dap, reg, &regval);
395 if (ret != ERROR_OK)
396 return ret;
397
398 if ((regval & mask) == value)
399 break;
400
401 alive_sleep(10);
402 } while (--timeout);
403
404 if (!timeout) {
405 LOG_DEBUG("DAP: poll %x timeout", reg);
406 return ERROR_FAIL;
407 } else {
408 return ERROR_OK;
409 }
410 }
411
412 /** Accessor for currently selected DAP-AP number (0..255) */
413 static inline uint8_t dap_ap_get_select(struct adiv5_dap *swjdp)
414 {
415 return (uint8_t)(swjdp->ap_current >> 24);
416 }
417
418 /* AP selection applies to future AP transactions */
419 void dap_ap_select(struct adiv5_dap *dap, uint8_t ap);
420
421 /* Queued AP transactions */
422 int dap_setup_accessport(struct adiv5_dap *swjdp,
423 uint32_t csw, uint32_t tar);
424
425 /* Queued MEM-AP memory mapped single word transfers */
426 int mem_ap_read_u32(struct adiv5_dap *swjdp, uint32_t address, uint32_t *value);
427 int mem_ap_write_u32(struct adiv5_dap *swjdp, uint32_t address, uint32_t value);
428
429 /* Synchronous MEM-AP memory mapped single word transfers */
430 int mem_ap_read_atomic_u32(struct adiv5_dap *swjdp,
431 uint32_t address, uint32_t *value);
432 int mem_ap_write_atomic_u32(struct adiv5_dap *swjdp,
433 uint32_t address, uint32_t value);
434
435 /* Queued MEM-AP memory mapped single word transfers with selection of ap */
436 int mem_ap_sel_read_u32(struct adiv5_dap *swjdp, uint8_t ap,
437 uint32_t address, uint32_t *value);
438 int mem_ap_sel_write_u32(struct adiv5_dap *swjdp, uint8_t ap,
439 uint32_t address, uint32_t value);
440
441 /* Synchronous MEM-AP memory mapped single word transfers with selection of ap */
442 int mem_ap_sel_read_atomic_u32(struct adiv5_dap *swjdp, uint8_t ap,
443 uint32_t address, uint32_t *value);
444 int mem_ap_sel_write_atomic_u32(struct adiv5_dap *swjdp, uint8_t ap,
445 uint32_t address, uint32_t value);
446
447 /* Synchronous MEM-AP memory mapped bus block transfers */
448 int mem_ap_read(struct adiv5_dap *dap, uint8_t *buffer, uint32_t size,
449 uint32_t count, uint32_t address, bool addrinc);
450 int mem_ap_write(struct adiv5_dap *dap, const uint8_t *buffer, uint32_t size,
451 uint32_t count, uint32_t address, bool addrinc);
452
453 /* Synchronous MEM-AP memory mapped bus block transfers with selection of ap */
454 int mem_ap_sel_read_buf(struct adiv5_dap *swjdp, uint8_t ap,
455 uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
456 int mem_ap_sel_write_buf(struct adiv5_dap *swjdp, uint8_t ap,
457 const uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
458
459 /* Synchronous, non-incrementing buffer functions for accessing fifos, with
460 * selection of ap */
461 int mem_ap_sel_read_buf_noincr(struct adiv5_dap *swjdp, uint8_t ap,
462 uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
463 int mem_ap_sel_write_buf_noincr(struct adiv5_dap *swjdp, uint8_t ap,
464 const uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
465
466 /* Initialisation of the debug system, power domains and registers */
467 int ahbap_debugport_init(struct adiv5_dap *swjdp);
468
469 /* Probe the AP for ROM Table location */
470 int dap_get_debugbase(struct adiv5_dap *dap, int ap,
471 uint32_t *dbgbase, uint32_t *apid);
472
473 /* Probe Access Ports to find a particular type */
474 int dap_find_ap(struct adiv5_dap *dap,
475 enum ap_type type_to_find,
476 uint8_t *ap_num_out);
477
478 /* Lookup CoreSight component */
479 int dap_lookup_cs_component(struct adiv5_dap *dap, int ap,
480 uint32_t dbgbase, uint8_t type, uint32_t *addr, int32_t *idx);
481
482 struct target;
483
484 /* Put debug link into SWD mode */
485 int dap_to_swd(struct target *target);
486
487 /* Put debug link into JTAG mode */
488 int dap_to_jtag(struct target *target);
489
490 extern const struct command_registration dap_command_handlers[];
491
492 #endif

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)