dc6ef36c38e6e9c0cc883ff554f45fe97438cff9
[openocd.git] / src / jtag / jtag.h
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007,2008 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifndef JTAG_H
24 #define JTAG_H
25
26 #include "types.h"
27 #include "binarybuffer.h"
28 #include "log.h"
29
30 #include "command.h"
31
32
33 #ifdef _DEBUG_JTAG_IO_
34 #define DEBUG_JTAG_IO(expr ...) LOG_DEBUG(expr)
35 #else
36 #define DEBUG_JTAG_IO(expr ...)
37 #endif
38
39 #ifndef DEBUG_JTAG_IOZ
40 #define DEBUG_JTAG_IOZ 64
41 #endif
42
43 /*-----<Macros>--------------------------------------------------*/
44
45 /** When given an array, compute its DIMension, i.e. number of elements in the array */
46 #define DIM(x) (sizeof(x)/sizeof((x)[0]))
47
48 /** Calculate the number of bytes required to hold @a n TAP scan bits */
49 #define TAP_SCAN_BYTES(n) CEIL(n, 8)
50
51 /*-----</Macros>-------------------------------------------------*/
52
53
54
55 /*
56 * Tap states from ARM7TDMI-S Technical reference manual.
57 * Also, validated against several other ARM core technical manuals.
58 *
59 * N.B. tap_get_tms_path() was changed to reflect this corrected
60 * numbering and ordering of the TAP states.
61 *
62 * DANGER!!!! some interfaces care about the actual numbers used
63 * as they are handed off directly to hardware implementations.
64 */
65
66 typedef enum tap_state
67 {
68 #if BUILD_ECOSBOARD
69 /* These are the old numbers. Leave as-is for now... */
70 TAP_RESET = 0, TAP_IDLE = 8,
71 TAP_DRSELECT = 1, TAP_DRCAPTURE = 2, TAP_DRSHIFT = 3, TAP_DREXIT1 = 4,
72 TAP_DRPAUSE = 5, TAP_DREXIT2 = 6, TAP_DRUPDATE = 7,
73 TAP_IRSELECT = 9, TAP_IRCAPTURE = 10, TAP_IRSHIFT = 11, TAP_IREXIT1 = 12,
74 TAP_IRPAUSE = 13, TAP_IREXIT2 = 14, TAP_IRUPDATE = 15,
75
76 TAP_NUM_STATES = 16, TAP_INVALID = -1,
77 #else
78 /* Proper ARM recommended numbers */
79 TAP_DREXIT2 = 0x0,
80 TAP_DREXIT1 = 0x1,
81 TAP_DRSHIFT = 0x2,
82 TAP_DRPAUSE = 0x3,
83 TAP_IRSELECT = 0x4,
84 TAP_DRUPDATE = 0x5,
85 TAP_DRCAPTURE = 0x6,
86 TAP_DRSELECT = 0x7,
87 TAP_IREXIT2 = 0x8,
88 TAP_IREXIT1 = 0x9,
89 TAP_IRSHIFT = 0xa,
90 TAP_IRPAUSE = 0xb,
91 TAP_IDLE = 0xc,
92 TAP_IRUPDATE = 0xd,
93 TAP_IRCAPTURE = 0xe,
94 TAP_RESET = 0x0f,
95
96 TAP_NUM_STATES = 0x10,
97
98 TAP_INVALID = -1,
99 #endif
100 } tap_state_t;
101
102 typedef struct tap_transition_s
103 {
104 tap_state_t high;
105 tap_state_t low;
106 } tap_transition_t;
107
108 //extern tap_transition_t tap_transitions[16]; /* describe the TAP state diagram */
109
110
111 /*-----<Cable Helper API>-------------------------------------------*/
112
113 /* The "Cable Helper API" is what the cable drivers can use to help implement
114 * their "Cable API". So a Cable Helper API is a set of helper functions used by
115 * cable drivers, and this is different from a Cable API. A "Cable API" is what
116 * higher level code used to talk to a cable.
117 */
118
119
120 /** implementation of wrapper function tap_set_state() */
121 void tap_set_state_impl(tap_state_t new_state);
122
123 /**
124 * Function tap_set_state
125 * sets the state of a "state follower" which tracks the state of the TAPs connected to the
126 * cable. The state follower is hopefully always in the same state as the actual
127 * TAPs in the jtag chain, and will be so if there are no bugs in the tracking logic within that
128 * cable driver. All the cable drivers call this function to indicate the state they think
129 * the TAPs attached to their cables are in. Because this function can also log transitions,
130 * it will be helpful to call this function with every transition that the TAPs being manipulated
131 * are expected to traverse, not just end points of a multi-step state path.
132 * @param new_state is the state we think the TAPs are currently in or are about to enter.
133 */
134 #if defined(_DEBUG_JTAG_IO_)
135 #define tap_set_state(new_state) \
136 do { \
137 LOG_DEBUG( "tap_set_state(%s)", tap_state_name(new_state) ); \
138 tap_set_state_impl(new_state); \
139 } while (0)
140 #else
141 static inline void tap_set_state(tap_state_t new_state)
142 {
143 tap_set_state_impl(new_state);
144 }
145
146 #endif
147
148 /**
149 * Function tap_get_state
150 * gets the state of the "state follower" which tracks the state of the TAPs connected to
151 * the cable.
152 * @see tap_set_state
153 * @return tap_state_t - The state the TAPs are in now.
154 */
155 tap_state_t tap_get_state(void);
156
157 /**
158 * Function tap_set_end_state
159 * sets the state of an "end state follower" which tracks the state that any cable driver
160 * thinks will be the end (resultant) state of the current TAP SIR or SDR operation. At completion
161 * of that TAP operation this value is copied into the state follower via tap_set_state().
162 * @param new_end_state is that state the TAPs should enter at completion of a pending TAP operation.
163 */
164 void tap_set_end_state(tap_state_t new_end_state);
165
166 /**
167 * Function tap_get_end_state
168 * @see tap_set_end_state
169 * @return tap_state_t - The state the TAPs should be in at completion of the current TAP operation.
170 */
171 tap_state_t tap_get_end_state(void);
172
173 /**
174 * Function tap_get_tms_path
175 * returns a 7 bit long "bit sequence" indicating what has to be done with TMS
176 * during a sequence of seven TAP clock cycles in order to get from
177 * state \a "from" to state \a "to".
178 * @param from is the starting state
179 * @param to is the resultant or final state
180 * @return int - a 7 bit sequence, with the first bit in the sequence at bit 0.
181 */
182 int tap_get_tms_path(tap_state_t from, tap_state_t to);
183
184
185 /**
186 * Function int tap_get_tms_path_len
187 * returns the total number of bits that represents a TMS path
188 * transition as given by the function tap_get_tms_path().
189 *
190 * For at least one interface (JLink) it's not OK to simply "pad" TMS sequences
191 * to fit a whole byte. (I suspect this is a general TAP problem within OOCD.)
192 * Padding TMS causes all manner of instability that's not easily
193 * discovered. Using this routine we can apply EXACTLY the state transitions
194 * required to make something work - no more - no less.
195 *
196 * @param from is the starting state
197 * @param to is the resultant or final state
198 * @return int - the total number of bits in a transition.
199 */
200 int tap_get_tms_path_len(tap_state_t from, tap_state_t to);
201
202
203 /**
204 * Function tap_move_ndx
205 * when given a stable state, returns an index from 0-5. The index corresponds to a
206 * sequence of stable states which are given in this order: <p>
207 * { TAP_RESET, TAP_IDLE, TAP_DRSHIFT, TAP_DRPAUSE, TAP_IRSHIFT, TAP_IRPAUSE }
208 * <p>
209 * This sequence corresponds to look up tables which are used in some of the
210 * cable drivers.
211 * @param astate is the stable state to find in the sequence. If a non stable
212 * state is passed, this may cause the program to output an error message
213 * and terminate.
214 * @return int - the array (or sequence) index as described above
215 */
216 int tap_move_ndx(tap_state_t astate);
217
218 /**
219 * Function tap_is_state_stable
220 * returns true if the \a astate is stable.
221 */
222 bool tap_is_state_stable(tap_state_t astate);
223
224 /**
225 * Function tap_state_transition
226 * takes a current TAP state and returns the next state according to the tms value.
227 * @param current_state is the state of a TAP currently.
228 * @param tms is either zero or non-zero, just like a real TMS line in a jtag interface.
229 * @return tap_state_t - the next state a TAP would enter.
230 */
231 tap_state_t tap_state_transition(tap_state_t current_state, bool tms);
232
233 /**
234 * Function tap_state_name
235 * Returns a string suitable for display representing the JTAG tap_state
236 */
237 const char* tap_state_name(tap_state_t state);
238
239 #ifdef _DEBUG_JTAG_IO_
240 /**
241 * @brief Prints verbose TAP state transitions for the given TMS/TDI buffers.
242 * @param tms_buf must points to a buffer containing the TMS bitstream.
243 * @param tdi_buf must points to a buffer containing the TDI bitstream.
244 * @param tap_len must specify the length of the TMS/TDI bitstreams.
245 * @param start_tap_state must specify the current TAP state.
246 * @returns the final TAP state; pass as @a start_tap_state in following call.
247 */
248 tap_state_t jtag_debug_state_machine(const void *tms_buf, const void *tdi_buf,
249 unsigned tap_len, tap_state_t start_tap_state);
250 #else
251 static inline tap_state_t jtag_debug_state_machine(const void *tms_buf,
252 const void *tdi_buf, unsigned tap_len, tap_state_t start_tap_state)
253 {
254 return start_tap_state;
255 }
256 #endif // _DEBUG_JTAG_IO_
257
258 /*-----</Cable Helper API>------------------------------------------*/
259
260
261 extern tap_state_t cmd_queue_end_state; /* finish DR scans in dr_end_state */
262 extern tap_state_t cmd_queue_cur_state; /* current TAP state */
263
264 typedef void* error_handler_t; /* Later on we can delete error_handler_t, but keep it for now to make patches more readable */
265
266 struct scan_field_s;
267 typedef int (*in_handler_t)(u8* in_value, void* priv, struct scan_field_s* field);
268
269 typedef struct scan_field_s
270 {
271 jtag_tap_t* tap; /* tap pointer this instruction refers to */
272 int num_bits; /* number of bits this field specifies (up to 32) */
273 u8* out_value; /* value to be scanned into the device */
274 u8* in_value; /* pointer to a 32-bit memory location to take data scanned out */
275 } scan_field_t;
276
277 enum scan_type {
278 /* IN: from device to host, OUT: from host to device */
279 SCAN_IN = 1, SCAN_OUT = 2, SCAN_IO = 3
280 };
281
282 typedef struct scan_command_s
283 {
284 int ir_scan; /* instruction/not data scan */
285 int num_fields; /* number of fields in *fields array */
286 scan_field_t* fields; /* pointer to an array of data scan fields */
287 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
288 } scan_command_t;
289
290 typedef struct statemove_command_s
291 {
292 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
293 } statemove_command_t;
294
295 typedef struct pathmove_command_s
296 {
297 int num_states; /* number of states in *path */
298 tap_state_t* path; /* states that have to be passed */
299 } pathmove_command_t;
300
301 typedef struct runtest_command_s
302 {
303 int num_cycles; /* number of cycles that should be spent in Run-Test/Idle */
304 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
305 } runtest_command_t;
306
307
308 typedef struct stableclocks_command_s
309 {
310 int num_cycles; /* number of clock cycles that should be sent */
311 } stableclocks_command_t;
312
313
314 typedef struct reset_command_s
315 {
316 int trst; /* trst/srst 0: deassert, 1: assert, -1: don't change */
317 int srst;
318 } reset_command_t;
319
320 typedef struct end_state_command_s
321 {
322 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
323 } end_state_command_t;
324
325 typedef struct sleep_command_s
326 {
327 u32 us; /* number of microseconds to sleep */
328 } sleep_command_t;
329
330 typedef union jtag_command_container_u
331 {
332 scan_command_t* scan;
333 statemove_command_t* statemove;
334 pathmove_command_t* pathmove;
335 runtest_command_t* runtest;
336 stableclocks_command_t* stableclocks;
337 reset_command_t* reset;
338 end_state_command_t* end_state;
339 sleep_command_t* sleep;
340 } jtag_command_container_t;
341
342 enum jtag_command_type {
343 JTAG_SCAN = 1,
344 JTAG_STATEMOVE = 2,
345 JTAG_RUNTEST = 3,
346 JTAG_RESET = 4,
347 JTAG_END_STATE = 5,
348 JTAG_PATHMOVE = 6,
349 JTAG_SLEEP = 7,
350 JTAG_STABLECLOCKS = 8
351 };
352
353 typedef struct jtag_command_s
354 {
355 jtag_command_container_t cmd;
356 enum jtag_command_type type;
357 struct jtag_command_s* next;
358 } jtag_command_t;
359
360 extern jtag_command_t* jtag_command_queue;
361
362 /* forward declaration */
363 typedef struct jtag_tap_event_action_s jtag_tap_event_action_t;
364
365 /* this is really: typedef jtag_tap_t */
366 /* But - the typedef is done in "types.h" */
367 /* due to "forward decloration reasons" */
368 struct jtag_tap_s
369 {
370 const char* chip;
371 const char* tapname;
372 const char* dotted_name;
373 int abs_chain_position;
374 int enabled;
375 int ir_length; /* size of instruction register */
376 u32 ir_capture_value;
377 u8* expected; /* Capture-IR expected value */
378 u32 ir_capture_mask;
379 u8* expected_mask; /* Capture-IR expected mask */
380 u32 idcode; /* device identification code */
381 u32* expected_ids; /* Array of expected identification codes */
382 u8 expected_ids_cnt; /* Number of expected identification codes */
383 u8* cur_instr; /* current instruction */
384 int bypass; /* bypass register selected */
385
386 jtag_tap_event_action_t* event_action;
387
388 jtag_tap_t* next_tap;
389 };
390 extern jtag_tap_t* jtag_AllTaps(void);
391 extern jtag_tap_t* jtag_TapByPosition(int n);
392 extern jtag_tap_t* jtag_TapByString(const char* dotted_name);
393 extern jtag_tap_t* jtag_TapByJimObj(Jim_Interp* interp, Jim_Obj* obj);
394 extern jtag_tap_t* jtag_TapByAbsPosition(int abs_position);
395 extern int jtag_NumEnabledTaps(void);
396 extern int jtag_NumTotalTaps(void);
397
398 static __inline__ jtag_tap_t* jtag_NextEnabledTap(jtag_tap_t* p)
399 {
400 if (p == NULL)
401 {
402 /* start at the head of list */
403 p = jtag_AllTaps();
404 }
405 else
406 {
407 /* start *after* this one */
408 p = p->next_tap;
409 }
410 while (p)
411 {
412 if (p->enabled)
413 {
414 break;
415 }
416 else
417 {
418 p = p->next_tap;
419 }
420 }
421
422 return p;
423 }
424
425
426 enum reset_line_mode {
427 LINE_OPEN_DRAIN = 0x0,
428 LINE_PUSH_PULL = 0x1,
429 };
430
431 typedef struct jtag_interface_s
432 {
433 char* name;
434
435 /* queued command execution
436 */
437 int (*execute_queue)(void);
438
439 /* interface initalization
440 */
441 int (*speed)(int speed);
442 int (*register_commands)(struct command_context_s* cmd_ctx);
443 int (*init)(void);
444 int (*quit)(void);
445
446 /* returns JTAG maxium speed for KHz. 0=RTCK. The function returns
447 * a failure if it can't support the KHz/RTCK.
448 *
449 * WARNING!!!! if RTCK is *slow* then think carefully about
450 * whether you actually want to support this in the driver.
451 * Many target scripts are written to handle the absence of RTCK
452 * and use a fallback kHz TCK.
453 */
454 int (*khz)(int khz, int* jtag_speed);
455
456 /* returns the KHz for the provided JTAG speed. 0=RTCK. The function returns
457 * a failure if it can't support the KHz/RTCK. */
458 int (*speed_div)(int speed, int* khz);
459
460 /* Read and clear the power dropout flag. Note that a power dropout
461 * can be transitionary, easily much less than a ms.
462 *
463 * So to find out if the power is *currently* on, you must invoke
464 * this method twice. Once to clear the power dropout flag and a
465 * second time to read the current state.
466 *
467 * Currently the default implementation is never to detect power dropout.
468 */
469 int (*power_dropout)(int* power_dropout);
470
471 /* Read and clear the srst asserted detection flag.
472 *
473 * NB!!!! like power_dropout this does *not* read the current
474 * state. srst assertion is transitionary and *can* be much
475 * less than 1ms.
476 */
477 int (*srst_asserted)(int* srst_asserted);
478 } jtag_interface_t;
479
480 enum jtag_event {
481 JTAG_TRST_ASSERTED
482 };
483
484 extern char* jtag_event_strings[];
485
486 enum jtag_tap_event {
487 JTAG_TAP_EVENT_ENABLE,
488 JTAG_TAP_EVENT_DISABLE
489 };
490
491 extern const Jim_Nvp nvp_jtag_tap_event[];
492
493 struct jtag_tap_event_action_s
494 {
495 enum jtag_tap_event event;
496 Jim_Obj* body;
497 jtag_tap_event_action_t* next;
498 };
499
500 extern int jtag_trst;
501 extern int jtag_srst;
502
503 typedef struct jtag_event_callback_s
504 {
505 int (*callback)(enum jtag_event event, void* priv);
506 void* priv;
507 struct jtag_event_callback_s* next;
508 } jtag_event_callback_t;
509
510 extern jtag_event_callback_t* jtag_event_callbacks;
511
512 extern jtag_interface_t* jtag; /* global pointer to configured JTAG interface */
513
514 extern int jtag_speed;
515 extern int jtag_speed_post_reset;
516
517 enum reset_types {
518 RESET_NONE = 0x0,
519 RESET_HAS_TRST = 0x1,
520 RESET_HAS_SRST = 0x2,
521 RESET_TRST_AND_SRST = 0x3,
522 RESET_SRST_PULLS_TRST = 0x4,
523 RESET_TRST_PULLS_SRST = 0x8,
524 RESET_TRST_OPEN_DRAIN = 0x10,
525 RESET_SRST_PUSH_PULL = 0x20,
526 };
527
528 extern enum reset_types jtag_reset_config;
529
530 /* initialize interface upon startup. A successful no-op
531 * upon subsequent invocations
532 */
533 extern int jtag_interface_init(struct command_context_s* cmd_ctx);
534
535 /* initialize JTAG chain using only a RESET reset. If init fails,
536 * try reset + init.
537 */
538 extern int jtag_init(struct command_context_s* cmd_ctx);
539
540 /* reset, then initialize JTAG chain */
541 extern int jtag_init_reset(struct command_context_s* cmd_ctx);
542 extern int jtag_register_commands(struct command_context_s* cmd_ctx);
543
544 /* JTAG interface, can be implemented with a software or hardware fifo
545 *
546 * TAP_DRSHIFT and TAP_IRSHIFT are illegal end states. TAP_DRSHIFT/IRSHIFT as end states
547 * can be emulated by using a larger scan.
548 *
549 * Code that is relatively insensitive to the path(as long
550 * as it is JTAG compliant) taken through state machine can use
551 * endstate for jtag_add_xxx_scan(). Otherwise the pause state must be
552 * specified as end state and a subsequent jtag_add_pathmove() must
553 * be issued.
554 *
555 */
556 extern void jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
557 /* same as jtag_add_ir_scan except no verify is performed */
558 extern void jtag_add_ir_scan_noverify(int num_fields, scan_field_t *fields, tap_state_t state);
559 extern int interface_jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
560 extern void jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
561 /* same as jtag_add_dr_scan but the scan is executed immediately. sets jtag_error if there
562 * was a failure.
563 */
564 extern void jtag_add_dr_scan_now(int num_fields, scan_field_t* fields, tap_state_t endstate);
565 extern int interface_jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
566 extern void jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
567 extern int interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
568 extern void jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
569 extern int interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
570
571 /* run a TAP_RESET reset. End state is TAP_RESET, regardless
572 * of start state.
573 */
574 extern void jtag_add_tlr(void);
575 extern int interface_jtag_add_tlr(void);
576
577 /* Application code *must* assume that interfaces will
578 * implement transitions between states with different
579 * paths and path lengths through the state diagram. The
580 * path will vary across interface and also across versions
581 * of the same interface over time. Even if the OpenOCD code
582 * is unchanged, the actual path taken may vary over time
583 * and versions of interface firmware or PCB revisions.
584 *
585 * Use jtag_add_pathmove() when specific transition sequences
586 * are required.
587 *
588 * Do not use jtag_add_pathmove() unless you need to, but do use it
589 * if you have to.
590 *
591 * DANGER! If the target is dependent upon a particular sequence
592 * of transitions for things to work correctly(e.g. as a workaround
593 * for an errata that contradicts the JTAG standard), then pathmove
594 * must be used, even if some jtag interfaces happen to use the
595 * desired path. Worse, the jtag interface used for testing a
596 * particular implementation, could happen to use the "desired"
597 * path when transitioning to/from end
598 * state.
599 *
600 * A list of unambigious single clock state transitions, not
601 * all drivers can support this, but it is required for e.g.
602 * XScale and Xilinx support
603 *
604 * Note! TAP_RESET must not be used in the path!
605 *
606 * Note that the first on the list must be reachable
607 * via a single transition from the current state.
608 *
609 * All drivers are required to implement jtag_add_pathmove().
610 * However, if the pathmove sequence can not be precisely
611 * executed, an interface_jtag_add_pathmove() or jtag_execute_queue()
612 * must return an error. It is legal, but not recommended, that
613 * a driver returns an error in all cases for a pathmove if it
614 * can only implement a few transitions and therefore
615 * a partial implementation of pathmove would have little practical
616 * application.
617 */
618 extern void jtag_add_pathmove(int num_states, tap_state_t* path);
619 extern int interface_jtag_add_pathmove(int num_states, tap_state_t* path);
620
621 /* go to TAP_IDLE, if we're not already there and cycle
622 * precisely num_cycles in the TAP_IDLE after which move
623 * to the end state, if it is != TAP_IDLE
624 *
625 * nb! num_cycles can be 0, in which case the fn will navigate
626 * to endstate via TAP_IDLE
627 */
628 extern void jtag_add_runtest(int num_cycles, tap_state_t endstate);
629 extern int interface_jtag_add_runtest(int num_cycles, tap_state_t endstate);
630
631 /* A reset of the TAP state machine can be requested.
632 *
633 * Whether tms or trst reset is used depends on the capabilities of
634 * the target and jtag interface(reset_config command configures this).
635 *
636 * srst can driver a reset of the TAP state machine and vice
637 * versa
638 *
639 * Application code may need to examine value of jtag_reset_config
640 * to determine the proper codepath
641 *
642 * DANGER! Even though srst drives trst, trst might not be connected to
643 * the interface, and it might actually be *harmful* to assert trst in this case.
644 *
645 * This is why combinations such as "reset_config srst_only srst_pulls_trst"
646 * are supported.
647 *
648 * only req_tlr_or_trst and srst can have a transition for a
649 * call as the effects of transitioning both at the "same time"
650 * are undefined, but when srst_pulls_trst or vice versa,
651 * then trst & srst *must* be asserted together.
652 */
653 extern void jtag_add_reset(int req_tlr_or_trst, int srst);
654
655 /* this drives the actual srst and trst pins. srst will always be 0
656 * if jtag_reset_config & RESET_SRST_PULLS_TRST != 0 and ditto for
657 * trst.
658 *
659 * the higher level jtag_add_reset will invoke jtag_add_tlr() if
660 * approperiate
661 */
662 extern int interface_jtag_add_reset(int trst, int srst);
663 extern void jtag_add_end_state(tap_state_t endstate);
664 extern int interface_jtag_add_end_state(tap_state_t endstate);
665 extern void jtag_add_sleep(u32 us);
666 extern int interface_jtag_add_sleep(u32 us);
667
668
669 /**
670 * Function jtag_add_stable_clocks
671 * first checks that the state in which the clocks are to be issued is
672 * stable, then queues up clock_count clocks for transmission.
673 */
674 void jtag_add_clocks(int num_cycles);
675 int interface_jtag_add_clocks(int num_cycles);
676
677
678 /*
679 * For software FIFO implementations, the queued commands can be executed
680 * during this call or earlier. A sw queue might decide to push out
681 * some of the jtag_add_xxx() operations once the queue is "big enough".
682 *
683 * This fn will return an error code if any of the prior jtag_add_xxx()
684 * calls caused a failure, e.g. check failure. Note that it does not
685 * matter if the operation was executed *before* jtag_execute_queue(),
686 * jtag_execute_queue() will still return an error code.
687 *
688 * All jtag_add_xxx() calls that have in_handler!=NULL will have been
689 * executed when this fn returns, but if what has been queued only
690 * clocks data out, without reading anything back, then JTAG could
691 * be running *after* jtag_execute_queue() returns. The API does
692 * not define a way to flush a hw FIFO that runs *after*
693 * jtag_execute_queue() returns.
694 *
695 * jtag_add_xxx() commands can either be executed immediately or
696 * at some time between the jtag_add_xxx() fn call and jtag_execute_queue().
697 */
698 extern int jtag_execute_queue(void);
699
700 /* same as jtag_execute_queue() but does not clear the error flag */
701 extern void jtag_execute_queue_noclear(void);
702
703 /* this flag is set when an error occurs while executing the queue. cleared
704 * by jtag_execute_queue()
705 *
706 * this flag can also be set from application code if some error happens
707 * during processing that should be reported during jtag_execute_queue().
708 */
709 extern int jtag_error;
710
711 static __inline__ void jtag_set_error(int error)
712 {
713 if ((error==ERROR_OK)||(jtag_error!=ERROR_OK))
714 {
715 /* keep first error */
716 return;
717 }
718 jtag_error=error;
719 }
720
721
722
723 /* can be implemented by hw+sw */
724 extern int interface_jtag_execute_queue(void);
725 extern int jtag_power_dropout(int* dropout);
726 extern int jtag_srst_asserted(int* srst_asserted);
727
728 /* JTAG support functions */
729 struct invalidstruct
730 {
731
732 };
733
734 /* execute jtag queue and check value and use mask if mask is != NULL. invokes
735 * jtag_set_error() with any error. */
736 extern void jtag_check_value_mask(scan_field_t *field, u8 *value, u8 *mask);
737 extern enum scan_type jtag_scan_type(scan_command_t* cmd);
738 extern int jtag_scan_size(scan_command_t* cmd);
739 extern int jtag_read_buffer(u8* buffer, scan_command_t* cmd);
740 extern int jtag_build_buffer(scan_command_t* cmd, u8** buffer);
741
742 extern void jtag_sleep(u32 us);
743 extern int jtag_call_event_callbacks(enum jtag_event event);
744 extern int jtag_register_event_callback(int (* callback)(enum jtag_event event, void* priv), void* priv);
745
746 extern int jtag_verify_capture_ir;
747
748 void jtag_tap_handle_event(jtag_tap_t* tap, enum jtag_tap_event e);
749
750 /* error codes
751 * JTAG subsystem uses codes between -100 and -199 */
752
753 #define ERROR_JTAG_INIT_FAILED (-100)
754 #define ERROR_JTAG_INVALID_INTERFACE (-101)
755 #define ERROR_JTAG_NOT_IMPLEMENTED (-102)
756 #define ERROR_JTAG_TRST_ASSERTED (-103)
757 #define ERROR_JTAG_QUEUE_FAILED (-104)
758 #define ERROR_JTAG_NOT_STABLE_STATE (-105)
759 #define ERROR_JTAG_DEVICE_ERROR (-107)
760
761
762 /* this allows JTAG devices to implement the entire jtag_xxx() layer in hw/sw */
763 #ifdef HAVE_JTAG_MINIDRIVER_H
764 /* Here a #define MINIDRIVER() and an inline version of hw fifo interface_jtag_add_dr_out can be defined */
765 #include "jtag_minidriver.h"
766 #define MINIDRIVER(a) notused ## a
767 #else
768 #define MINIDRIVER(a) a
769
770 /* jtag_add_dr_out() is a faster version of jtag_add_dr_scan()
771 *
772 * Current or end_state can not be TAP_RESET. end_state can be TAP_INVALID
773 *
774 * num_bits[i] is the number of bits to clock out from value[i] LSB first.
775 *
776 * If the device is in bypass, then that is an error condition in
777 * the caller code that is not detected by this fn, whereas jtag_add_dr_scan()
778 * does detect it. Similarly if the device is not in bypass, data must
779 * be passed to it.
780 *
781 * If anything fails, then jtag_error will be set and jtag_execute() will
782 * return an error. There is no way to determine if there was a failure
783 * during this function call.
784 *
785 * Note that this jtag_add_dr_out can be defined as an inline function.
786 */
787 extern void interface_jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
788 tap_state_t end_state);
789
790 #endif
791
792 static __inline__ void jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
793 tap_state_t end_state)
794 {
795 if (end_state != TAP_INVALID)
796 cmd_queue_end_state = end_state;
797 cmd_queue_cur_state = cmd_queue_end_state;
798 interface_jtag_add_dr_out(tap, num_fields, num_bits, value, cmd_queue_end_state);
799 }
800
801
802 #endif /* JTAG_H */

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)