jtag: rename JTAG_MOVESTATE to JTAG_TLR_RESET
[openocd.git] / src / jtag / drivers / bitbang.c
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007,2008 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifdef HAVE_CONFIG_H
24 #include "config.h"
25 #endif
26
27 #include "bitbang.h"
28 #include <jtag/interface.h>
29 #include <jtag/commands.h>
30
31 /**
32 * Function bitbang_stableclocks
33 * issues a number of clock cycles while staying in a stable state.
34 * Because the TMS value required to stay in the RESET state is a 1, whereas
35 * the TMS value required to stay in any of the other stable states is a 0,
36 * this function checks the current stable state to decide on the value of TMS
37 * to use.
38 */
39 static void bitbang_stableclocks(int num_cycles);
40
41
42 struct bitbang_interface *bitbang_interface;
43
44 /* DANGER!!!! clock absolutely *MUST* be 0 in idle or reset won't work!
45 *
46 * Set this to 1 and str912 reset halt will fail.
47 *
48 * If someone can submit a patch with an explanation it will be greatly
49 * appreciated, but as far as I can tell (ØH) DCLK is generated upon
50 * clk = 0 in TAP_IDLE. Good luck deducing that from the ARM documentation!
51 * The ARM documentation uses the term "DCLK is asserted while in the TAP_IDLE
52 * state". With hardware there is no such thing as *while* in a state. There
53 * are only edges. So clk => 0 is in fact a very subtle state transition that
54 * happens *while* in the TAP_IDLE state. "#&¤"#¤&"#&"#&
55 *
56 * For "reset halt" the last thing that happens before srst is asserted
57 * is that the breakpoint is set up. If DCLK is not wiggled one last
58 * time before the reset, then the breakpoint is not set up and
59 * "reset halt" will fail to halt.
60 *
61 */
62 #define CLOCK_IDLE() 0
63
64
65 /* The bitbang driver leaves the TCK 0 when in idle */
66 static void bitbang_end_state(tap_state_t state)
67 {
68 if (tap_is_state_stable(state))
69 tap_set_end_state(state);
70 else
71 {
72 LOG_ERROR("BUG: %i is not a valid end state", state);
73 exit(-1);
74 }
75 }
76
77 static void bitbang_state_move(int skip)
78 {
79 int i = 0, tms = 0;
80 uint8_t tms_scan = tap_get_tms_path(tap_get_state(), tap_get_end_state());
81 int tms_count = tap_get_tms_path_len(tap_get_state(), tap_get_end_state());
82
83 for (i = skip; i < tms_count; i++)
84 {
85 tms = (tms_scan >> i) & 1;
86 bitbang_interface->write(0, tms, 0);
87 bitbang_interface->write(1, tms, 0);
88 }
89 bitbang_interface->write(CLOCK_IDLE(), tms, 0);
90
91 tap_set_state(tap_get_end_state());
92 }
93
94
95 /**
96 * Clock a bunch of TMS (or SWDIO) transitions, to change the JTAG
97 * (or SWD) state machine.
98 */
99 static int bitbang_execute_tms(struct jtag_command *cmd)
100 {
101 unsigned num_bits = cmd->cmd.tms->num_bits;
102 const uint8_t *bits = cmd->cmd.tms->bits;
103
104 DEBUG_JTAG_IO("TMS: %d bits", num_bits);
105
106 int tms = 0;
107 for (unsigned i = 0; i < num_bits; i++)
108 {
109 tms = ((bits[i/8] >> (i % 8)) & 1);
110 bitbang_interface->write(0, tms, 0);
111 bitbang_interface->write(1, tms, 0);
112 }
113 bitbang_interface->write(CLOCK_IDLE(), tms, 0);
114
115 return ERROR_OK;
116 }
117
118
119 static void bitbang_path_move(struct pathmove_command *cmd)
120 {
121 int num_states = cmd->num_states;
122 int state_count;
123 int tms = 0;
124
125 state_count = 0;
126 while (num_states)
127 {
128 if (tap_state_transition(tap_get_state(), false) == cmd->path[state_count])
129 {
130 tms = 0;
131 }
132 else if (tap_state_transition(tap_get_state(), true) == cmd->path[state_count])
133 {
134 tms = 1;
135 }
136 else
137 {
138 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition", tap_state_name(tap_get_state()), tap_state_name(cmd->path[state_count]));
139 exit(-1);
140 }
141
142 bitbang_interface->write(0, tms, 0);
143 bitbang_interface->write(1, tms, 0);
144
145 tap_set_state(cmd->path[state_count]);
146 state_count++;
147 num_states--;
148 }
149
150 bitbang_interface->write(CLOCK_IDLE(), tms, 0);
151
152 tap_set_end_state(tap_get_state());
153 }
154
155 static void bitbang_runtest(int num_cycles)
156 {
157 int i;
158
159 tap_state_t saved_end_state = tap_get_end_state();
160
161 /* only do a state_move when we're not already in IDLE */
162 if (tap_get_state() != TAP_IDLE)
163 {
164 bitbang_end_state(TAP_IDLE);
165 bitbang_state_move(0);
166 }
167
168 /* execute num_cycles */
169 for (i = 0; i < num_cycles; i++)
170 {
171 bitbang_interface->write(0, 0, 0);
172 bitbang_interface->write(1, 0, 0);
173 }
174 bitbang_interface->write(CLOCK_IDLE(), 0, 0);
175
176 /* finish in end_state */
177 bitbang_end_state(saved_end_state);
178 if (tap_get_state() != tap_get_end_state())
179 bitbang_state_move(0);
180 }
181
182
183 static void bitbang_stableclocks(int num_cycles)
184 {
185 int tms = (tap_get_state() == TAP_RESET ? 1 : 0);
186 int i;
187
188 /* send num_cycles clocks onto the cable */
189 for (i = 0; i < num_cycles; i++)
190 {
191 bitbang_interface->write(1, tms, 0);
192 bitbang_interface->write(0, tms, 0);
193 }
194 }
195
196
197
198 static void bitbang_scan(bool ir_scan, enum scan_type type, uint8_t *buffer, int scan_size)
199 {
200 tap_state_t saved_end_state = tap_get_end_state();
201 int bit_cnt;
202
203 if (!((!ir_scan && (tap_get_state() == TAP_DRSHIFT)) || (ir_scan && (tap_get_state() == TAP_IRSHIFT))))
204 {
205 if (ir_scan)
206 bitbang_end_state(TAP_IRSHIFT);
207 else
208 bitbang_end_state(TAP_DRSHIFT);
209
210 bitbang_state_move(0);
211 bitbang_end_state(saved_end_state);
212 }
213
214 for (bit_cnt = 0; bit_cnt < scan_size; bit_cnt++)
215 {
216 int val = 0;
217 int tms = (bit_cnt == scan_size-1) ? 1 : 0;
218 int tdi;
219 int bytec = bit_cnt/8;
220 int bcval = 1 << (bit_cnt % 8);
221
222 /* if we're just reading the scan, but don't care about the output
223 * default to outputting 'low', this also makes valgrind traces more readable,
224 * as it removes the dependency on an uninitialised value
225 */
226 tdi = 0;
227 if ((type != SCAN_IN) && (buffer[bytec] & bcval))
228 tdi = 1;
229
230 bitbang_interface->write(0, tms, tdi);
231
232 if (type != SCAN_OUT)
233 val = bitbang_interface->read();
234
235 bitbang_interface->write(1, tms, tdi);
236
237 if (type != SCAN_OUT)
238 {
239 if (val)
240 buffer[bytec] |= bcval;
241 else
242 buffer[bytec] &= ~bcval;
243 }
244 }
245
246 if (tap_get_state() != tap_get_end_state())
247 {
248 /* we *KNOW* the above loop transitioned out of
249 * the shift state, so we skip the first state
250 * and move directly to the end state.
251 */
252 bitbang_state_move(1);
253 }
254 }
255
256 int bitbang_execute_queue(void)
257 {
258 struct jtag_command *cmd = jtag_command_queue; /* currently processed command */
259 int scan_size;
260 enum scan_type type;
261 uint8_t *buffer;
262 int retval;
263
264 if (!bitbang_interface)
265 {
266 LOG_ERROR("BUG: Bitbang interface called, but not yet initialized");
267 exit(-1);
268 }
269
270 /* return ERROR_OK, unless a jtag_read_buffer returns a failed check
271 * that wasn't handled by a caller-provided error handler
272 */
273 retval = ERROR_OK;
274
275 if (bitbang_interface->blink)
276 bitbang_interface->blink(1);
277
278 while (cmd)
279 {
280 switch (cmd->type)
281 {
282 case JTAG_RESET:
283 #ifdef _DEBUG_JTAG_IO_
284 LOG_DEBUG("reset trst: %i srst %i", cmd->cmd.reset->trst, cmd->cmd.reset->srst);
285 #endif
286 if ((cmd->cmd.reset->trst == 1) || (cmd->cmd.reset->srst && (jtag_get_reset_config() & RESET_SRST_PULLS_TRST)))
287 {
288 tap_set_state(TAP_RESET);
289 }
290 bitbang_interface->reset(cmd->cmd.reset->trst, cmd->cmd.reset->srst);
291 break;
292 case JTAG_RUNTEST:
293 #ifdef _DEBUG_JTAG_IO_
294 LOG_DEBUG("runtest %i cycles, end in %s", cmd->cmd.runtest->num_cycles, tap_state_name(cmd->cmd.runtest->end_state));
295 #endif
296 bitbang_end_state(cmd->cmd.runtest->end_state);
297 bitbang_runtest(cmd->cmd.runtest->num_cycles);
298 break;
299
300 case JTAG_STABLECLOCKS:
301 /* this is only allowed while in a stable state. A check for a stable
302 * state was done in jtag_add_clocks()
303 */
304 bitbang_stableclocks(cmd->cmd.stableclocks->num_cycles);
305 break;
306
307 case JTAG_TLR_RESET:
308 #ifdef _DEBUG_JTAG_IO_
309 LOG_DEBUG("statemove end in %s", tap_state_name(cmd->cmd.statemove->end_state));
310 #endif
311 bitbang_end_state(cmd->cmd.statemove->end_state);
312 bitbang_state_move(0);
313 break;
314 case JTAG_PATHMOVE:
315 #ifdef _DEBUG_JTAG_IO_
316 LOG_DEBUG("pathmove: %i states, end in %s", cmd->cmd.pathmove->num_states,
317 tap_state_name(cmd->cmd.pathmove->path[cmd->cmd.pathmove->num_states - 1]));
318 #endif
319 bitbang_path_move(cmd->cmd.pathmove);
320 break;
321 case JTAG_SCAN:
322 #ifdef _DEBUG_JTAG_IO_
323 LOG_DEBUG("%s scan end in %s", (cmd->cmd.scan->ir_scan) ? "IR" : "DR", tap_state_name(cmd->cmd.scan->end_state));
324 #endif
325 bitbang_end_state(cmd->cmd.scan->end_state);
326 scan_size = jtag_build_buffer(cmd->cmd.scan, &buffer);
327 type = jtag_scan_type(cmd->cmd.scan);
328 bitbang_scan(cmd->cmd.scan->ir_scan, type, buffer, scan_size);
329 if (jtag_read_buffer(buffer, cmd->cmd.scan) != ERROR_OK)
330 retval = ERROR_JTAG_QUEUE_FAILED;
331 if (buffer)
332 free(buffer);
333 break;
334 case JTAG_SLEEP:
335 #ifdef _DEBUG_JTAG_IO_
336 LOG_DEBUG("sleep %" PRIi32, cmd->cmd.sleep->us);
337 #endif
338 jtag_sleep(cmd->cmd.sleep->us);
339 break;
340 case JTAG_TMS:
341 retval = bitbang_execute_tms(cmd);
342 break;
343 default:
344 LOG_ERROR("BUG: unknown JTAG command type encountered");
345 exit(-1);
346 }
347 cmd = cmd->next;
348 }
349 if (bitbang_interface->blink)
350 bitbang_interface->blink(0);
351
352 return retval;
353 }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)