interface: define TMS sequence command
[openocd.git] / src / jtag / core.c
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
33
34 #include "jtag.h"
35 #include "interface.h"
36
37 #ifdef HAVE_STRINGS_H
38 #include <strings.h>
39 #endif
40
41
42 /// The number of JTAG queue flushes (for profiling and debugging purposes).
43 static int jtag_flush_queue_count;
44
45 static void jtag_add_scan_check(void (*jtag_add_scan)(int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
46 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
47
48 /**
49 * The jtag_error variable is set when an error occurs while executing
50 * the queue. Application code may set this using jtag_set_error(),
51 * when an error occurs during processing that should be reported during
52 * jtag_execute_queue().
53 *
54 * Tts value may be checked with jtag_get_error() and cleared with
55 * jtag_error_clear(). This value is returned (and cleared) by
56 * jtag_execute_queue().
57 */
58 static int jtag_error = ERROR_OK;
59
60 static const char *jtag_event_strings[] =
61 {
62 [JTAG_TRST_ASSERTED] = "TAP reset",
63 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
64 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
65 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
66 };
67
68 /*
69 * JTAG adapters must initialize with TRST and SRST de-asserted
70 * (they're negative logic, so that means *high*). But some
71 * hardware doesn't necessarily work that way ... so set things
72 * up so that jtag_init() always forces that state.
73 */
74 static int jtag_trst = -1;
75 static int jtag_srst = -1;
76
77 /**
78 * List all TAPs that have been created.
79 */
80 static struct jtag_tap *__jtag_all_taps = NULL;
81 /**
82 * The number of TAPs in the __jtag_all_taps list, used to track the
83 * assigned chain position to new TAPs
84 */
85 static unsigned jtag_num_taps = 0;
86
87 static enum reset_types jtag_reset_config = RESET_NONE;
88 static tap_state_t cmd_queue_end_state = TAP_RESET;
89 tap_state_t cmd_queue_cur_state = TAP_RESET;
90
91 static bool jtag_verify_capture_ir = true;
92 static int jtag_verify = 1;
93
94 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
95 static int jtag_nsrst_delay = 0; /* default to no nSRST delay */
96 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
97 static int jtag_nsrst_assert_width = 0; /* width of assertion */
98 static int jtag_ntrst_assert_width = 0; /* width of assertion */
99
100 /**
101 * Contains a single callback along with a pointer that will be passed
102 * when an event occurs.
103 */
104 struct jtag_event_callback {
105 /// a event callback
106 jtag_event_handler_t callback;
107 /// the private data to pass to the callback
108 void* priv;
109 /// the next callback
110 struct jtag_event_callback* next;
111 };
112
113 /* callbacks to inform high-level handlers about JTAG state changes */
114 static struct jtag_event_callback *jtag_event_callbacks;
115
116 /* speed in kHz*/
117 static int speed_khz = 0;
118 /* speed to fallback to when RCLK is requested but not supported */
119 static int rclk_fallback_speed_khz = 0;
120 static enum {CLOCK_MODE_SPEED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
121 static int jtag_speed = 0;
122
123 static struct jtag_interface *jtag = NULL;
124
125 /* configuration */
126 struct jtag_interface *jtag_interface = NULL;
127
128 void jtag_set_error(int error)
129 {
130 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
131 return;
132 jtag_error = error;
133 }
134 int jtag_get_error(void)
135 {
136 return jtag_error;
137 }
138 int jtag_error_clear(void)
139 {
140 int temp = jtag_error;
141 jtag_error = ERROR_OK;
142 return temp;
143 }
144
145 /************/
146
147 static bool jtag_poll = 1;
148
149 bool is_jtag_poll_safe(void)
150 {
151 /* Polling can be disabled explicitly with set_enabled(false).
152 * It is also implicitly disabled while TRST is active and
153 * while SRST is gating the JTAG clock.
154 */
155 if (!jtag_poll || jtag_trst != 0)
156 return false;
157 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
158 }
159
160 bool jtag_poll_get_enabled(void)
161 {
162 return jtag_poll;
163 }
164
165 void jtag_poll_set_enabled(bool value)
166 {
167 jtag_poll = value;
168 }
169
170 /************/
171
172 struct jtag_tap *jtag_all_taps(void)
173 {
174 return __jtag_all_taps;
175 };
176
177 unsigned jtag_tap_count(void)
178 {
179 return jtag_num_taps;
180 }
181
182 unsigned jtag_tap_count_enabled(void)
183 {
184 struct jtag_tap *t = jtag_all_taps();
185 unsigned n = 0;
186 while (t)
187 {
188 if (t->enabled)
189 n++;
190 t = t->next_tap;
191 }
192 return n;
193 }
194
195 /// Append a new TAP to the chain of all taps.
196 void jtag_tap_add(struct jtag_tap *t)
197 {
198 t->abs_chain_position = jtag_num_taps++;
199
200 struct jtag_tap **tap = &__jtag_all_taps;
201 while (*tap != NULL)
202 tap = &(*tap)->next_tap;
203 *tap = t;
204 }
205
206 /* returns a pointer to the n-th device in the scan chain */
207 static inline struct jtag_tap *jtag_tap_by_position(unsigned n)
208 {
209 struct jtag_tap *t = jtag_all_taps();
210
211 while (t && n-- > 0)
212 t = t->next_tap;
213
214 return t;
215 }
216
217 struct jtag_tap *jtag_tap_by_string(const char *s)
218 {
219 /* try by name first */
220 struct jtag_tap *t = jtag_all_taps();
221
222 while (t)
223 {
224 if (0 == strcmp(t->dotted_name, s))
225 return t;
226 t = t->next_tap;
227 }
228
229 /* no tap found by name, so try to parse the name as a number */
230 unsigned n;
231 if (parse_uint(s, &n) != ERROR_OK)
232 return NULL;
233
234 /* FIXME remove this numeric fallback code late June 2010, along
235 * with all info in the User's Guide that TAPs have numeric IDs.
236 * Also update "scan_chain" output to not display the numbers.
237 */
238 t = jtag_tap_by_position(n);
239 if (t)
240 LOG_WARNING("Specify TAP '%s' by name, not number %u",
241 t->dotted_name, n);
242
243 return t;
244 }
245
246 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
247 {
248 p = p ? p->next_tap : jtag_all_taps();
249 while (p)
250 {
251 if (p->enabled)
252 return p;
253 p = p->next_tap;
254 }
255 return NULL;
256 }
257
258 const char *jtag_tap_name(const struct jtag_tap *tap)
259 {
260 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
261 }
262
263
264 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
265 {
266 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
267
268 if (callback == NULL)
269 {
270 return ERROR_INVALID_ARGUMENTS;
271 }
272
273 if (*callbacks_p)
274 {
275 while ((*callbacks_p)->next)
276 callbacks_p = &((*callbacks_p)->next);
277 callbacks_p = &((*callbacks_p)->next);
278 }
279
280 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
281 (*callbacks_p)->callback = callback;
282 (*callbacks_p)->priv = priv;
283 (*callbacks_p)->next = NULL;
284
285 return ERROR_OK;
286 }
287
288 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
289 {
290 struct jtag_event_callback **callbacks_p;
291 struct jtag_event_callback **next;
292
293 if (callback == NULL)
294 {
295 return ERROR_INVALID_ARGUMENTS;
296 }
297
298 for (callbacks_p = &jtag_event_callbacks;
299 *callbacks_p != NULL;
300 callbacks_p = next)
301 {
302 next = &((*callbacks_p)->next);
303
304 if ((*callbacks_p)->priv != priv)
305 continue;
306
307 if ((*callbacks_p)->callback == callback)
308 {
309 free(*callbacks_p);
310 *callbacks_p = *next;
311 }
312 }
313
314 return ERROR_OK;
315 }
316
317 int jtag_call_event_callbacks(enum jtag_event event)
318 {
319 struct jtag_event_callback *callback = jtag_event_callbacks;
320
321 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
322
323 while (callback)
324 {
325 struct jtag_event_callback *next;
326
327 /* callback may remove itself */
328 next = callback->next;
329 callback->callback(event, callback->priv);
330 callback = next;
331 }
332
333 return ERROR_OK;
334 }
335
336 static void jtag_checks(void)
337 {
338 assert(jtag_trst == 0);
339 }
340
341 static void jtag_prelude(tap_state_t state)
342 {
343 jtag_checks();
344
345 assert(state != TAP_INVALID);
346
347 cmd_queue_cur_state = state;
348 }
349
350 void jtag_alloc_in_value32(struct scan_field *field)
351 {
352 interface_jtag_alloc_in_value32(field);
353 }
354
355 void jtag_add_ir_scan_noverify(int in_count, const struct scan_field *in_fields,
356 tap_state_t state)
357 {
358 jtag_prelude(state);
359
360 int retval = interface_jtag_add_ir_scan(in_count, in_fields, state);
361 jtag_set_error(retval);
362 }
363
364
365 void jtag_add_ir_scan(int in_num_fields, struct scan_field *in_fields, tap_state_t state)
366 {
367 assert(state != TAP_RESET);
368
369 if (jtag_verify && jtag_verify_capture_ir)
370 {
371 /* 8 x 32 bit id's is enough for all invocations */
372
373 for (int j = 0; j < in_num_fields; j++)
374 {
375 /* if we are to run a verification of the ir scan, we need to get the input back.
376 * We may have to allocate space if the caller didn't ask for the input back.
377 */
378 in_fields[j].check_value = in_fields[j].tap->expected;
379 in_fields[j].check_mask = in_fields[j].tap->expected_mask;
380 }
381 jtag_add_scan_check(jtag_add_ir_scan_noverify, in_num_fields, in_fields, state);
382 } else
383 {
384 jtag_add_ir_scan_noverify(in_num_fields, in_fields, state);
385 }
386 }
387
388 void jtag_add_plain_ir_scan(int in_num_fields, const struct scan_field *in_fields,
389 tap_state_t state)
390 {
391 assert(state != TAP_RESET);
392
393 jtag_prelude(state);
394
395 int retval = interface_jtag_add_plain_ir_scan(
396 in_num_fields, in_fields, state);
397 jtag_set_error(retval);
398 }
399
400 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
401 uint8_t *in_check_mask, int num_bits);
402
403 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
404 {
405 return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
406 }
407
408 static void jtag_add_scan_check(void (*jtag_add_scan)(int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
409 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
410 {
411 for (int i = 0; i < in_num_fields; i++)
412 {
413 struct scan_field *field = &in_fields[i];
414 field->allocated = 0;
415 field->modified = 0;
416 if (field->check_value || field->in_value)
417 continue;
418 interface_jtag_add_scan_check_alloc(field);
419 field->modified = 1;
420 }
421
422 jtag_add_scan(in_num_fields, in_fields, state);
423
424 for (int i = 0; i < in_num_fields; i++)
425 {
426 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
427 {
428 /* this is synchronous for a minidriver */
429 jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
430 (jtag_callback_data_t)in_fields[i].check_value,
431 (jtag_callback_data_t)in_fields[i].check_mask,
432 (jtag_callback_data_t)in_fields[i].num_bits);
433 }
434 if (in_fields[i].allocated)
435 {
436 free(in_fields[i].in_value);
437 }
438 if (in_fields[i].modified)
439 {
440 in_fields[i].in_value = NULL;
441 }
442 }
443 }
444
445 void jtag_add_dr_scan_check(int in_num_fields, struct scan_field *in_fields, tap_state_t state)
446 {
447 if (jtag_verify)
448 {
449 jtag_add_scan_check(jtag_add_dr_scan, in_num_fields, in_fields, state);
450 } else
451 {
452 jtag_add_dr_scan(in_num_fields, in_fields, state);
453 }
454 }
455
456
457 void jtag_add_dr_scan(int in_num_fields, const struct scan_field *in_fields,
458 tap_state_t state)
459 {
460 assert(state != TAP_RESET);
461
462 jtag_prelude(state);
463
464 int retval;
465 retval = interface_jtag_add_dr_scan(in_num_fields, in_fields, state);
466 jtag_set_error(retval);
467 }
468
469 void jtag_add_plain_dr_scan(int in_num_fields, const struct scan_field *in_fields,
470 tap_state_t state)
471 {
472 assert(state != TAP_RESET);
473
474 jtag_prelude(state);
475
476 int retval;
477 retval = interface_jtag_add_plain_dr_scan(in_num_fields, in_fields, state);
478 jtag_set_error(retval);
479 }
480
481 void jtag_add_tlr(void)
482 {
483 jtag_prelude(TAP_RESET);
484 jtag_set_error(interface_jtag_add_tlr());
485
486 /* NOTE: order here matches TRST path in jtag_add_reset() */
487 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
488 jtag_notify_event(JTAG_TRST_ASSERTED);
489 }
490
491 /**
492 * If supported by the underlying adapter, this clocks a raw bit sequence
493 * onto TMS for switching betwen JTAG and SWD modes.
494 *
495 * DO NOT use this to bypass the integrity checks and logging provided
496 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
497 *
498 * @param nbits How many bits to clock out.
499 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
500 * @param state The JTAG tap state to record on completion. Use
501 * TAP_INVALID to represent being in in SWD mode.
502 *
503 * @todo Update naming conventions to stop assuming everything is JTAG.
504 */
505 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
506 {
507 int retval;
508
509 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
510 return ERROR_JTAG_NOT_IMPLEMENTED;
511
512 jtag_checks();
513 cmd_queue_cur_state = state;
514
515 retval = interface_add_tms_seq(nbits, seq);
516 jtag_set_error(retval);
517 return retval;
518 }
519
520 void jtag_add_pathmove(int num_states, const tap_state_t *path)
521 {
522 tap_state_t cur_state = cmd_queue_cur_state;
523
524 /* the last state has to be a stable state */
525 if (!tap_is_state_stable(path[num_states - 1]))
526 {
527 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
528 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
529 return;
530 }
531
532 for (int i = 0; i < num_states; i++)
533 {
534 if (path[i] == TAP_RESET)
535 {
536 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
537 jtag_set_error(ERROR_JTAG_STATE_INVALID);
538 return;
539 }
540
541 if (tap_state_transition(cur_state, true) != path[i]
542 && tap_state_transition(cur_state, false) != path[i])
543 {
544 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
545 tap_state_name(cur_state), tap_state_name(path[i]));
546 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
547 return;
548 }
549 cur_state = path[i];
550 }
551
552 jtag_checks();
553
554 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
555 cmd_queue_cur_state = path[num_states - 1];
556 }
557
558 int jtag_add_statemove(tap_state_t goal_state)
559 {
560 tap_state_t cur_state = cmd_queue_cur_state;
561
562 if (goal_state != cur_state)
563 {
564 LOG_DEBUG("cur_state=%s goal_state=%s",
565 tap_state_name(cur_state),
566 tap_state_name(goal_state));
567 }
568
569 /* If goal is RESET, be paranoid and force that that transition
570 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
571 */
572 if (goal_state == TAP_RESET)
573 jtag_add_tlr();
574 else if (goal_state == cur_state)
575 /* nothing to do */ ;
576
577 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
578 {
579 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
580 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
581 tap_state_t moves[8];
582 assert(tms_count < ARRAY_SIZE(moves));
583
584 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
585 {
586 bool bit = tms_bits & 1;
587
588 cur_state = tap_state_transition(cur_state, bit);
589 moves[i] = cur_state;
590 }
591
592 jtag_add_pathmove(tms_count, moves);
593 }
594 else if (tap_state_transition(cur_state, true) == goal_state
595 || tap_state_transition(cur_state, false) == goal_state)
596 {
597 jtag_add_pathmove(1, &goal_state);
598 }
599
600 else
601 return ERROR_FAIL;
602
603 return ERROR_OK;
604 }
605
606 void jtag_add_runtest(int num_cycles, tap_state_t state)
607 {
608 jtag_prelude(state);
609 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
610 }
611
612
613 void jtag_add_clocks(int num_cycles)
614 {
615 if (!tap_is_state_stable(cmd_queue_cur_state))
616 {
617 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
618 tap_state_name(cmd_queue_cur_state));
619 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
620 return;
621 }
622
623 if (num_cycles > 0)
624 {
625 jtag_checks();
626 jtag_set_error(interface_jtag_add_clocks(num_cycles));
627 }
628 }
629
630 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
631 {
632 int trst_with_tlr = 0;
633 int new_srst = 0;
634 int new_trst = 0;
635
636 /* Without SRST, we must use target-specific JTAG operations
637 * on each target; callers should not be requesting SRST when
638 * that signal doesn't exist.
639 *
640 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
641 * can kick in even if the JTAG adapter can't drive TRST.
642 */
643 if (req_srst) {
644 if (!(jtag_reset_config & RESET_HAS_SRST)) {
645 LOG_ERROR("BUG: can't assert SRST");
646 jtag_set_error(ERROR_FAIL);
647 return;
648 }
649 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
650 && !req_tlr_or_trst) {
651 LOG_ERROR("BUG: can't assert only SRST");
652 jtag_set_error(ERROR_FAIL);
653 return;
654 }
655 new_srst = 1;
656 }
657
658 /* JTAG reset (entry to TAP_RESET state) can always be achieved
659 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
660 * state first. TRST accelerates it, and bypasses those states.
661 *
662 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
663 * can kick in even if the JTAG adapter can't drive SRST.
664 */
665 if (req_tlr_or_trst) {
666 if (!(jtag_reset_config & RESET_HAS_TRST))
667 trst_with_tlr = 1;
668 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
669 && !req_srst)
670 trst_with_tlr = 1;
671 else
672 new_trst = 1;
673 }
674
675 /* Maybe change TRST and/or SRST signal state */
676 if (jtag_srst != new_srst || jtag_trst != new_trst) {
677 int retval;
678
679 retval = interface_jtag_add_reset(new_trst, new_srst);
680 if (retval != ERROR_OK)
681 jtag_set_error(retval);
682 else
683 retval = jtag_execute_queue();
684
685 if (retval != ERROR_OK) {
686 LOG_ERROR("TRST/SRST error %d", retval);
687 return;
688 }
689 }
690
691 /* SRST resets everything hooked up to that signal */
692 if (jtag_srst != new_srst) {
693 jtag_srst = new_srst;
694 if (jtag_srst)
695 {
696 LOG_DEBUG("SRST line asserted");
697 if (jtag_nsrst_assert_width)
698 jtag_add_sleep(jtag_nsrst_assert_width * 1000);
699 }
700 else {
701 LOG_DEBUG("SRST line released");
702 if (jtag_nsrst_delay)
703 jtag_add_sleep(jtag_nsrst_delay * 1000);
704 }
705 }
706
707 /* Maybe enter the JTAG TAP_RESET state ...
708 * - using only TMS, TCK, and the JTAG state machine
709 * - or else more directly, using TRST
710 *
711 * TAP_RESET should be invisible to non-debug parts of the system.
712 */
713 if (trst_with_tlr) {
714 LOG_DEBUG("JTAG reset with TLR instead of TRST");
715 jtag_set_end_state(TAP_RESET);
716 jtag_add_tlr();
717
718 } else if (jtag_trst != new_trst) {
719 jtag_trst = new_trst;
720 if (jtag_trst) {
721 LOG_DEBUG("TRST line asserted");
722 tap_set_state(TAP_RESET);
723 if (jtag_ntrst_assert_width)
724 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
725 } else {
726 LOG_DEBUG("TRST line released");
727 if (jtag_ntrst_delay)
728 jtag_add_sleep(jtag_ntrst_delay * 1000);
729
730 /* We just asserted nTRST, so we're now in TAP_RESET.
731 * Inform possible listeners about this, now that
732 * JTAG instructions and data can be shifted. This
733 * sequence must match jtag_add_tlr().
734 */
735 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
736 jtag_notify_event(JTAG_TRST_ASSERTED);
737 }
738 }
739 }
740
741 tap_state_t jtag_set_end_state(tap_state_t state)
742 {
743 if ((state == TAP_DRSHIFT)||(state == TAP_IRSHIFT))
744 {
745 LOG_ERROR("BUG: TAP_DRSHIFT/IRSHIFT can't be end state. Calling code should use a larger scan field");
746 }
747
748 if (state != TAP_INVALID)
749 cmd_queue_end_state = state;
750 return cmd_queue_end_state;
751 }
752
753 tap_state_t jtag_get_end_state(void)
754 {
755 return cmd_queue_end_state;
756 }
757
758 void jtag_add_sleep(uint32_t us)
759 {
760 /// @todo Here, keep_alive() appears to be a layering violation!!!
761 keep_alive();
762 jtag_set_error(interface_jtag_add_sleep(us));
763 }
764
765 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
766 uint8_t *in_check_mask, int num_bits)
767 {
768 int retval = ERROR_OK;
769 int compare_failed;
770
771 if (in_check_mask)
772 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
773 else
774 compare_failed = buf_cmp(captured, in_check_value, num_bits);
775
776 if (compare_failed) {
777 char *captured_str, *in_check_value_str;
778 int bits = (num_bits > DEBUG_JTAG_IOZ)
779 ? DEBUG_JTAG_IOZ
780 : num_bits;
781
782 /* NOTE: we've lost diagnostic context here -- 'which tap' */
783
784 captured_str = buf_to_str(captured, bits, 16);
785 in_check_value_str = buf_to_str(in_check_value, bits, 16);
786
787 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
788 captured_str);
789 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
790
791 free(captured_str);
792 free(in_check_value_str);
793
794 if (in_check_mask) {
795 char *in_check_mask_str;
796
797 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
798 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
799 free(in_check_mask_str);
800 }
801
802 retval = ERROR_JTAG_QUEUE_FAILED;
803 }
804 return retval;
805 }
806
807 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
808 {
809 assert(field->in_value != NULL);
810
811 if (value == NULL)
812 {
813 /* no checking to do */
814 return;
815 }
816
817 jtag_execute_queue_noclear();
818
819 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
820 jtag_set_error(retval);
821 }
822
823
824
825 int default_interface_jtag_execute_queue(void)
826 {
827 if (NULL == jtag)
828 {
829 LOG_ERROR("No JTAG interface configured yet. "
830 "Issue 'init' command in startup scripts "
831 "before communicating with targets.");
832 return ERROR_FAIL;
833 }
834
835 return jtag->execute_queue();
836 }
837
838 void jtag_execute_queue_noclear(void)
839 {
840 jtag_flush_queue_count++;
841 jtag_set_error(interface_jtag_execute_queue());
842 }
843
844 int jtag_get_flush_queue_count(void)
845 {
846 return jtag_flush_queue_count;
847 }
848
849 int jtag_execute_queue(void)
850 {
851 jtag_execute_queue_noclear();
852 return jtag_error_clear();
853 }
854
855 static int jtag_reset_callback(enum jtag_event event, void *priv)
856 {
857 struct jtag_tap *tap = priv;
858
859 if (event == JTAG_TRST_ASSERTED)
860 {
861 tap->enabled = !tap->disabled_after_reset;
862
863 /* current instruction is either BYPASS or IDCODE */
864 buf_set_ones(tap->cur_instr, tap->ir_length);
865 tap->bypass = 1;
866 }
867
868 return ERROR_OK;
869 }
870
871 void jtag_sleep(uint32_t us)
872 {
873 alive_sleep(us/1000);
874 }
875
876 /* Maximum number of enabled JTAG devices we expect in the scan chain,
877 * plus one (to detect garbage at the end). Devices that don't support
878 * IDCODE take up fewer bits, possibly allowing a few more devices.
879 */
880 #define JTAG_MAX_CHAIN_SIZE 20
881
882 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
883 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
884 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
885
886 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
887 * know that no valid TAP will have it as an IDCODE value.
888 */
889 #define END_OF_CHAIN_FLAG 0x000000ff
890
891 /* a larger IR length than we ever expect to autoprobe */
892 #define JTAG_IRLEN_MAX 60
893
894 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
895 {
896 struct scan_field field = {
897 .tap = NULL,
898 .num_bits = num_idcode * 32,
899 .out_value = idcode_buffer,
900 .in_value = idcode_buffer,
901 };
902
903 // initialize to the end of chain ID value
904 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
905 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
906
907 jtag_add_plain_dr_scan(1, &field, TAP_DRPAUSE);
908 jtag_add_tlr();
909 return jtag_execute_queue();
910 }
911
912 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
913 {
914 uint8_t zero_check = 0x0;
915 uint8_t one_check = 0xff;
916
917 for (unsigned i = 0; i < count * 4; i++)
918 {
919 zero_check |= idcodes[i];
920 one_check &= idcodes[i];
921 }
922
923 /* if there wasn't a single non-zero bit or if all bits were one,
924 * the scan is not valid. We wrote a mix of both values; either
925 *
926 * - There's a hardware issue (almost certainly):
927 * + all-zeroes can mean a target stuck in JTAG reset
928 * + all-ones tends to mean no target
929 * - The scan chain is WAY longer than we can handle, *AND* either
930 * + there are several hundreds of TAPs in bypass, or
931 * + at least a few dozen TAPs all have an all-ones IDCODE
932 */
933 if (zero_check == 0x00 || one_check == 0xff)
934 {
935 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
936 (zero_check == 0x00) ? "zeroes" : "ones");
937 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
938 return false;
939 }
940 return true;
941 }
942
943 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
944 const char *name, uint32_t idcode)
945 {
946 log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
947 "JTAG tap: %s %16.16s: 0x%08x "
948 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
949 name, msg,
950 (unsigned int)idcode,
951 (unsigned int)EXTRACT_MFG(idcode),
952 (unsigned int)EXTRACT_PART(idcode),
953 (unsigned int)EXTRACT_VER(idcode));
954 }
955
956 static bool jtag_idcode_is_final(uint32_t idcode)
957 {
958 /*
959 * Some devices, such as AVR8, will output all 1's instead
960 * of TDI input value at end of chain. Allow those values
961 * instead of failing.
962 */
963 return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
964 }
965
966 /**
967 * This helper checks that remaining bits in the examined chain data are
968 * all as expected, but a single JTAG device requires only 64 bits to be
969 * read back correctly. This can help identify and diagnose problems
970 * with the JTAG chain earlier, gives more helpful/explicit error messages.
971 * Returns TRUE iff garbage was found.
972 */
973 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
974 {
975 bool triggered = false;
976 for (; count < max - 31; count += 32)
977 {
978 uint32_t idcode = buf_get_u32(idcodes, count, 32);
979
980 /* do not trigger the warning if the data looks good */
981 if (jtag_idcode_is_final(idcode))
982 continue;
983 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
984 count, (unsigned int)idcode);
985 triggered = true;
986 }
987 return triggered;
988 }
989
990 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
991 {
992 uint32_t idcode = tap->idcode;
993
994 /* ignore expected BYPASS codes; warn otherwise */
995 if (0 == tap->expected_ids_cnt && !idcode)
996 return true;
997
998 /* optionally ignore the JTAG version field */
999 uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
1000
1001 idcode &= mask;
1002
1003 /* Loop over the expected identification codes and test for a match */
1004 unsigned ii, limit = tap->expected_ids_cnt;
1005
1006 for (ii = 0; ii < limit; ii++)
1007 {
1008 uint32_t expected = tap->expected_ids[ii] & mask;
1009
1010 if (idcode == expected)
1011 return true;
1012
1013 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1014 if (0 == tap->expected_ids[ii])
1015 return true;
1016 }
1017
1018 /* If none of the expected ids matched, warn */
1019 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1020 tap->dotted_name, tap->idcode);
1021 for (ii = 0; ii < limit; ii++)
1022 {
1023 char msg[32];
1024
1025 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1026 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1027 tap->dotted_name, tap->expected_ids[ii]);
1028 }
1029 return false;
1030 }
1031
1032 /* Try to examine chain layout according to IEEE 1149.1 §12
1033 * This is called a "blind interrogation" of the scan chain.
1034 */
1035 static int jtag_examine_chain(void)
1036 {
1037 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1038 unsigned bit_count;
1039 int retval;
1040 int tapcount = 0;
1041 bool autoprobe = false;
1042
1043 /* DR scan to collect BYPASS or IDCODE register contents.
1044 * Then make sure the scan data has both ones and zeroes.
1045 */
1046 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1047 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1048 if (retval != ERROR_OK)
1049 return retval;
1050 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1051 return ERROR_JTAG_INIT_FAILED;
1052
1053 /* point at the 1st tap */
1054 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1055
1056 if (!tap)
1057 autoprobe = true;
1058
1059 for (bit_count = 0;
1060 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1061 tap = jtag_tap_next_enabled(tap))
1062 {
1063 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1064
1065 if ((idcode & 1) == 0)
1066 {
1067 /* Zero for LSB indicates a device in bypass */
1068 LOG_INFO("TAP %s does not have IDCODE",
1069 tap->dotted_name);
1070 idcode = 0;
1071 tap->hasidcode = false;
1072
1073 bit_count += 1;
1074 }
1075 else
1076 {
1077 /* Friendly devices support IDCODE */
1078 tap->hasidcode = true;
1079 jtag_examine_chain_display(LOG_LVL_INFO,
1080 "tap/device found",
1081 tap->dotted_name, idcode);
1082
1083 bit_count += 32;
1084 }
1085 tap->idcode = idcode;
1086
1087 /* ensure the TAP ID matches what was expected */
1088 if (!jtag_examine_chain_match_tap(tap))
1089 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1090 }
1091
1092 /* Fail if too many TAPs were enabled for us to verify them all. */
1093 if (tap) {
1094 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1095 tap->dotted_name);
1096 return ERROR_JTAG_INIT_FAILED;
1097 }
1098
1099 /* if autoprobing, the tap list is still empty ... populate it! */
1100 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1101 uint32_t idcode;
1102 char buf[12];
1103
1104 /* Is there another TAP? */
1105 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1106 if (jtag_idcode_is_final(idcode))
1107 break;
1108
1109 /* Default everything in this TAP except IR length.
1110 *
1111 * REVISIT create a jtag_alloc(chip, tap) routine, and
1112 * share it with jim_newtap_cmd().
1113 */
1114 tap = calloc(1, sizeof *tap);
1115 if (!tap)
1116 return ERROR_FAIL;
1117
1118 sprintf(buf, "auto%d", tapcount++);
1119 tap->chip = strdup(buf);
1120 tap->tapname = strdup("tap");
1121
1122 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1123 tap->dotted_name = strdup(buf);
1124
1125 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1126 tap->ir_capture_mask = 0x03;
1127 tap->ir_capture_value = 0x01;
1128
1129 tap->enabled = true;
1130
1131 if ((idcode & 1) == 0) {
1132 bit_count += 1;
1133 tap->hasidcode = false;
1134 } else {
1135 bit_count += 32;
1136 tap->hasidcode = true;
1137 tap->idcode = idcode;
1138
1139 tap->expected_ids_cnt = 1;
1140 tap->expected_ids = malloc(sizeof(uint32_t));
1141 tap->expected_ids[0] = idcode;
1142 }
1143
1144 LOG_WARNING("AUTO %s - use \"jtag newtap "
1145 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1146 tap->dotted_name, tap->chip, tap->tapname,
1147 tap->idcode);
1148
1149 jtag_tap_init(tap);
1150 }
1151
1152 /* After those IDCODE or BYPASS register values should be
1153 * only the data we fed into the scan chain.
1154 */
1155 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1156 8 * sizeof(idcode_buffer))) {
1157 LOG_ERROR("double-check your JTAG setup (interface, "
1158 "speed, missing TAPs, ...)");
1159 return ERROR_JTAG_INIT_FAILED;
1160 }
1161
1162 /* Return success or, for backwards compatibility if only
1163 * some IDCODE values mismatched, a soft/continuable fault.
1164 */
1165 return retval;
1166 }
1167
1168 /*
1169 * Validate the date loaded by entry to the Capture-IR state, to help
1170 * find errors related to scan chain configuration (wrong IR lengths)
1171 * or communication.
1172 *
1173 * Entry state can be anything. On non-error exit, all TAPs are in
1174 * bypass mode. On error exits, the scan chain is reset.
1175 */
1176 static int jtag_validate_ircapture(void)
1177 {
1178 struct jtag_tap *tap;
1179 int total_ir_length = 0;
1180 uint8_t *ir_test = NULL;
1181 struct scan_field field;
1182 int val;
1183 int chain_pos = 0;
1184 int retval;
1185
1186 /* when autoprobing, accomodate huge IR lengths */
1187 for (tap = NULL, total_ir_length = 0;
1188 (tap = jtag_tap_next_enabled(tap)) != NULL;
1189 total_ir_length += tap->ir_length) {
1190 if (tap->ir_length == 0)
1191 total_ir_length += JTAG_IRLEN_MAX;
1192 }
1193
1194 /* increase length to add 2 bit sentinel after scan */
1195 total_ir_length += 2;
1196
1197 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1198 if (ir_test == NULL)
1199 return ERROR_FAIL;
1200
1201 /* after this scan, all TAPs will capture BYPASS instructions */
1202 buf_set_ones(ir_test, total_ir_length);
1203
1204 field.tap = NULL;
1205 field.num_bits = total_ir_length;
1206 field.out_value = ir_test;
1207 field.in_value = ir_test;
1208
1209 jtag_add_plain_ir_scan(1, &field, TAP_IDLE);
1210
1211 LOG_DEBUG("IR capture validation scan");
1212 retval = jtag_execute_queue();
1213 if (retval != ERROR_OK)
1214 goto done;
1215
1216 tap = NULL;
1217 chain_pos = 0;
1218
1219 for (;;) {
1220 tap = jtag_tap_next_enabled(tap);
1221 if (tap == NULL) {
1222 break;
1223 }
1224
1225 /* If we're autoprobing, guess IR lengths. They must be at
1226 * least two bits. Guessing will fail if (a) any TAP does
1227 * not conform to the JTAG spec; or (b) when the upper bits
1228 * captured from some conforming TAP are nonzero. Or if
1229 * (c) an IR length is longer than 32 bits -- which is only
1230 * an implementation limit, which could someday be raised.
1231 *
1232 * REVISIT optimization: if there's a *single* TAP we can
1233 * lift restrictions (a) and (b) by scanning a recognizable
1234 * pattern before the all-ones BYPASS. Check for where the
1235 * pattern starts in the result, instead of an 0...01 value.
1236 *
1237 * REVISIT alternative approach: escape to some tcl code
1238 * which could provide more knowledge, based on IDCODE; and
1239 * only guess when that has no success.
1240 */
1241 if (tap->ir_length == 0) {
1242 tap->ir_length = 2;
1243 while ((val = buf_get_u32(ir_test, chain_pos,
1244 tap->ir_length + 1)) == 1
1245 && tap->ir_length <= 32) {
1246 tap->ir_length++;
1247 }
1248 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1249 jtag_tap_name(tap), tap->ir_length);
1250 }
1251
1252 /* Validate the two LSBs, which must be 01 per JTAG spec.
1253 *
1254 * Or ... more bits could be provided by TAP declaration.
1255 * Plus, some taps (notably in i.MX series chips) violate
1256 * this part of the JTAG spec, so their capture mask/value
1257 * attributes might disable this test.
1258 */
1259 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1260 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1261 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1262 jtag_tap_name(tap),
1263 (tap->ir_length + 7) / tap->ir_length,
1264 val,
1265 (tap->ir_length + 7) / tap->ir_length,
1266 (unsigned) tap->ir_capture_value);
1267
1268 retval = ERROR_JTAG_INIT_FAILED;
1269 goto done;
1270 }
1271 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1272 (tap->ir_length + 7) / tap->ir_length, val);
1273 chain_pos += tap->ir_length;
1274 }
1275
1276 /* verify the '11' sentinel we wrote is returned at the end */
1277 val = buf_get_u32(ir_test, chain_pos, 2);
1278 if (val != 0x3)
1279 {
1280 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1281
1282 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1283 chain_pos, cbuf);
1284 free(cbuf);
1285 retval = ERROR_JTAG_INIT_FAILED;
1286 }
1287
1288 done:
1289 free(ir_test);
1290 if (retval != ERROR_OK) {
1291 jtag_add_tlr();
1292 jtag_execute_queue();
1293 }
1294 return retval;
1295 }
1296
1297
1298 void jtag_tap_init(struct jtag_tap *tap)
1299 {
1300 unsigned ir_len_bits;
1301 unsigned ir_len_bytes;
1302
1303 /* if we're autoprobing, cope with potentially huge ir_length */
1304 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1305 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1306
1307 tap->expected = calloc(1, ir_len_bytes);
1308 tap->expected_mask = calloc(1, ir_len_bytes);
1309 tap->cur_instr = malloc(ir_len_bytes);
1310
1311 /// @todo cope better with ir_length bigger than 32 bits
1312 if (ir_len_bits > 32)
1313 ir_len_bits = 32;
1314
1315 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1316 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1317
1318 // TAP will be in bypass mode after jtag_validate_ircapture()
1319 tap->bypass = 1;
1320 buf_set_ones(tap->cur_instr, tap->ir_length);
1321
1322 // register the reset callback for the TAP
1323 jtag_register_event_callback(&jtag_reset_callback, tap);
1324
1325 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1326 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1327 tap->abs_chain_position, tap->ir_length,
1328 (unsigned) tap->ir_capture_value,
1329 (unsigned) tap->ir_capture_mask);
1330 jtag_tap_add(tap);
1331 }
1332
1333 void jtag_tap_free(struct jtag_tap *tap)
1334 {
1335 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1336
1337 /// @todo is anything missing? no memory leaks please
1338 free((void *)tap->expected);
1339 free((void *)tap->expected_ids);
1340 free((void *)tap->chip);
1341 free((void *)tap->tapname);
1342 free((void *)tap->dotted_name);
1343 free(tap);
1344 }
1345
1346 int jtag_interface_init(struct command_context *cmd_ctx)
1347 {
1348 if (jtag)
1349 return ERROR_OK;
1350
1351 if (!jtag_interface)
1352 {
1353 /* nothing was previously specified by "interface" command */
1354 LOG_ERROR("JTAG interface has to be specified, see \"interface\" command");
1355 return ERROR_JTAG_INVALID_INTERFACE;
1356 }
1357
1358 jtag = jtag_interface;
1359 if (jtag_interface->init() != ERROR_OK)
1360 {
1361 jtag = NULL;
1362 return ERROR_JTAG_INIT_FAILED;
1363 }
1364
1365 int requested_khz = jtag_get_speed_khz();
1366 int actual_khz = requested_khz;
1367 int retval = jtag_get_speed_readable(&actual_khz);
1368 if (ERROR_OK != retval)
1369 LOG_INFO("interface specific clock speed value %d", jtag_get_speed());
1370 else if (actual_khz)
1371 {
1372 if ((CLOCK_MODE_RCLK == clock_mode)
1373 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1374 {
1375 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1376 , actual_khz);
1377 }
1378 else
1379 LOG_INFO("clock speed %d kHz", actual_khz);
1380 }
1381 else
1382 LOG_INFO("RCLK (adaptive clock speed)");
1383
1384 return ERROR_OK;
1385 }
1386
1387 int jtag_init_inner(struct command_context *cmd_ctx)
1388 {
1389 struct jtag_tap *tap;
1390 int retval;
1391 bool issue_setup = true;
1392
1393 LOG_DEBUG("Init JTAG chain");
1394
1395 tap = jtag_tap_next_enabled(NULL);
1396 if (tap == NULL) {
1397 /* Once JTAG itself is properly set up, and the scan chain
1398 * isn't absurdly large, IDCODE autoprobe should work fine.
1399 *
1400 * But ... IRLEN autoprobe can fail even on systems which
1401 * are fully conformant to JTAG. Also, JTAG setup can be
1402 * quite finicky on some systems.
1403 *
1404 * REVISIT: if TAP autoprobe works OK, then in many cases
1405 * we could escape to tcl code and set up targets based on
1406 * the TAP's IDCODE values.
1407 */
1408 LOG_WARNING("There are no enabled taps. "
1409 "AUTO PROBING MIGHT NOT WORK!!");
1410
1411 /* REVISIT default clock will often be too fast ... */
1412 }
1413
1414 jtag_add_tlr();
1415 if ((retval = jtag_execute_queue()) != ERROR_OK)
1416 return retval;
1417
1418 /* Examine DR values first. This discovers problems which will
1419 * prevent communication ... hardware issues like TDO stuck, or
1420 * configuring the wrong number of (enabled) TAPs.
1421 */
1422 retval = jtag_examine_chain();
1423 switch (retval) {
1424 case ERROR_OK:
1425 /* complete success */
1426 break;
1427 case ERROR_JTAG_INIT_SOFT_FAIL:
1428 /* For backward compatibility reasons, try coping with
1429 * configuration errors involving only ID mismatches.
1430 * We might be able to talk to the devices.
1431 */
1432 LOG_ERROR("Trying to use configured scan chain anyway...");
1433 issue_setup = false;
1434 break;
1435 default:
1436 /* some hard error; already issued diagnostics */
1437 return retval;
1438 }
1439
1440 /* Now look at IR values. Problems here will prevent real
1441 * communication. They mostly mean that the IR length is
1442 * wrong ... or that the IR capture value is wrong. (The
1443 * latter is uncommon, but easily worked around: provide
1444 * ircapture/irmask values during TAP setup.)
1445 */
1446 retval = jtag_validate_ircapture();
1447 if (retval != ERROR_OK)
1448 return retval;
1449
1450 if (issue_setup)
1451 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1452 else
1453 LOG_WARNING("Bypassing JTAG setup events due to errors");
1454
1455
1456 return ERROR_OK;
1457 }
1458
1459 int jtag_interface_quit(void)
1460 {
1461 if (!jtag || !jtag->quit)
1462 return ERROR_OK;
1463
1464 // close the JTAG interface
1465 int result = jtag->quit();
1466 if (ERROR_OK != result)
1467 LOG_ERROR("failed: %d", result);
1468
1469 return ERROR_OK;
1470 }
1471
1472
1473 int jtag_init_reset(struct command_context *cmd_ctx)
1474 {
1475 int retval;
1476
1477 if ((retval = jtag_interface_init(cmd_ctx)) != ERROR_OK)
1478 return retval;
1479
1480 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1481
1482 /*
1483 * This procedure is used by default when OpenOCD triggers a reset.
1484 * It's now done through an overridable Tcl "init_reset" wrapper.
1485 *
1486 * This started out as a more powerful "get JTAG working" reset than
1487 * jtag_init_inner(), applying TRST because some chips won't activate
1488 * JTAG without a TRST cycle (presumed to be async, though some of
1489 * those chips synchronize JTAG activation using TCK).
1490 *
1491 * But some chips only activate JTAG as part of an SRST cycle; SRST
1492 * got mixed in. So it became a hard reset routine, which got used
1493 * in more places, and which coped with JTAG reset being forced as
1494 * part of SRST (srst_pulls_trst).
1495 *
1496 * And even more corner cases started to surface: TRST and/or SRST
1497 * assertion timings matter; some chips need other JTAG operations;
1498 * TRST/SRST sequences can need to be different from these, etc.
1499 *
1500 * Systems should override that wrapper to support system-specific
1501 * requirements that this not-fully-generic code doesn't handle.
1502 *
1503 * REVISIT once Tcl code can read the reset_config modes, this won't
1504 * need to be a C routine at all...
1505 */
1506 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1507 if (jtag_reset_config & RESET_HAS_SRST)
1508 {
1509 jtag_add_reset(1, 1);
1510 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1511 jtag_add_reset(0, 1);
1512 }
1513 jtag_add_reset(0, 0);
1514 if ((retval = jtag_execute_queue()) != ERROR_OK)
1515 return retval;
1516
1517 /* Check that we can communication on the JTAG chain + eventually we want to
1518 * be able to perform enumeration only after OpenOCD has started
1519 * telnet and GDB server
1520 *
1521 * That would allow users to more easily perform any magic they need to before
1522 * reset happens.
1523 */
1524 return jtag_init_inner(cmd_ctx);
1525 }
1526
1527 int jtag_init(struct command_context *cmd_ctx)
1528 {
1529 int retval;
1530
1531 if ((retval = jtag_interface_init(cmd_ctx)) != ERROR_OK)
1532 return retval;
1533
1534 /* guard against oddball hardware: force resets to be inactive */
1535 jtag_add_reset(0, 0);
1536 if ((retval = jtag_execute_queue()) != ERROR_OK)
1537 return retval;
1538
1539 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1540 return ERROR_FAIL;
1541
1542 return ERROR_OK;
1543 }
1544
1545 unsigned jtag_get_speed_khz(void)
1546 {
1547 return speed_khz;
1548 }
1549
1550 static int jtag_khz_to_speed(unsigned khz, int* speed)
1551 {
1552 LOG_DEBUG("convert khz to interface specific speed value");
1553 speed_khz = khz;
1554 if (jtag != NULL)
1555 {
1556 LOG_DEBUG("have interface set up");
1557 int speed_div1;
1558 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1559 if (ERROR_OK != retval)
1560 {
1561 return retval;
1562 }
1563 *speed = speed_div1;
1564 }
1565 return ERROR_OK;
1566 }
1567
1568 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1569 {
1570 int retval = jtag_khz_to_speed(0, speed);
1571 if ((ERROR_OK != retval) && fallback_speed_khz)
1572 {
1573 LOG_DEBUG("trying fallback speed...");
1574 retval = jtag_khz_to_speed(fallback_speed_khz, speed);
1575 }
1576 return retval;
1577 }
1578
1579 static int jtag_set_speed(int speed)
1580 {
1581 jtag_speed = speed;
1582 /* this command can be called during CONFIG,
1583 * in which case jtag isn't initialized */
1584 return jtag ? jtag->speed(speed) : ERROR_OK;
1585 }
1586
1587 int jtag_config_khz(unsigned khz)
1588 {
1589 LOG_DEBUG("handle jtag khz");
1590 clock_mode = CLOCK_MODE_KHZ;
1591 int speed = 0;
1592 int retval = jtag_khz_to_speed(khz, &speed);
1593 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1594 }
1595
1596 int jtag_config_rclk(unsigned fallback_speed_khz)
1597 {
1598 LOG_DEBUG("handle jtag rclk");
1599 clock_mode = CLOCK_MODE_RCLK;
1600 rclk_fallback_speed_khz = fallback_speed_khz;
1601 int speed = 0;
1602 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1603 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1604 }
1605
1606 int jtag_get_speed(void)
1607 {
1608 int speed;
1609 switch(clock_mode)
1610 {
1611 case CLOCK_MODE_SPEED:
1612 speed = jtag_speed;
1613 break;
1614 case CLOCK_MODE_KHZ:
1615 jtag_khz_to_speed(jtag_get_speed_khz(), &speed);
1616 break;
1617 case CLOCK_MODE_RCLK:
1618 jtag_rclk_to_speed(rclk_fallback_speed_khz, &speed);
1619 break;
1620 default:
1621 LOG_ERROR("BUG: unknown jtag clock mode");
1622 speed = 0;
1623 break;
1624 }
1625 return speed;
1626 }
1627
1628 int jtag_get_speed_readable(int *khz)
1629 {
1630 return jtag ? jtag->speed_div(jtag_get_speed(), khz) : ERROR_OK;
1631 }
1632
1633 void jtag_set_verify(bool enable)
1634 {
1635 jtag_verify = enable;
1636 }
1637
1638 bool jtag_will_verify()
1639 {
1640 return jtag_verify;
1641 }
1642
1643 void jtag_set_verify_capture_ir(bool enable)
1644 {
1645 jtag_verify_capture_ir = enable;
1646 }
1647
1648 bool jtag_will_verify_capture_ir()
1649 {
1650 return jtag_verify_capture_ir;
1651 }
1652
1653 int jtag_power_dropout(int *dropout)
1654 {
1655 if (jtag == NULL)
1656 {
1657 /* TODO: as the jtag interface is not valid all
1658 * we can do at the moment is exit OpenOCD */
1659 LOG_ERROR("No Valid JTAG Interface Configured.");
1660 exit(-1);
1661 }
1662 return jtag->power_dropout(dropout);
1663 }
1664
1665 int jtag_srst_asserted(int *srst_asserted)
1666 {
1667 return jtag->srst_asserted(srst_asserted);
1668 }
1669
1670 enum reset_types jtag_get_reset_config(void)
1671 {
1672 return jtag_reset_config;
1673 }
1674 void jtag_set_reset_config(enum reset_types type)
1675 {
1676 jtag_reset_config = type;
1677 }
1678
1679 int jtag_get_trst(void)
1680 {
1681 return jtag_trst;
1682 }
1683 int jtag_get_srst(void)
1684 {
1685 return jtag_srst;
1686 }
1687
1688 void jtag_set_nsrst_delay(unsigned delay)
1689 {
1690 jtag_nsrst_delay = delay;
1691 }
1692 unsigned jtag_get_nsrst_delay(void)
1693 {
1694 return jtag_nsrst_delay;
1695 }
1696 void jtag_set_ntrst_delay(unsigned delay)
1697 {
1698 jtag_ntrst_delay = delay;
1699 }
1700 unsigned jtag_get_ntrst_delay(void)
1701 {
1702 return jtag_ntrst_delay;
1703 }
1704
1705
1706 void jtag_set_nsrst_assert_width(unsigned delay)
1707 {
1708 jtag_nsrst_assert_width = delay;
1709 }
1710 unsigned jtag_get_nsrst_assert_width(void)
1711 {
1712 return jtag_nsrst_assert_width;
1713 }
1714 void jtag_set_ntrst_assert_width(unsigned delay)
1715 {
1716 jtag_ntrst_assert_width = delay;
1717 }
1718 unsigned jtag_get_ntrst_assert_width(void)
1719 {
1720 return jtag_ntrst_assert_width;
1721 }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)