jtag: Remove unnecessary global variable
[openocd.git] / src / jtag / core.c
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. *
29 ***************************************************************************/
30
31 #ifdef HAVE_CONFIG_H
32 #include "config.h"
33 #endif
34
35 #include "jtag.h"
36 #include "swd.h"
37 #include "interface.h"
38 #include <transport/transport.h>
39
40 #ifdef HAVE_STRINGS_H
41 #include <strings.h>
42 #endif
43
44 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
45 #include "svf/svf.h"
46 #include "xsvf/xsvf.h"
47
48 /** The number of JTAG queue flushes (for profiling and debugging purposes). */
49 static int jtag_flush_queue_count;
50
51 /* Sleep this # of ms after flushing the queue */
52 static int jtag_flush_queue_sleep;
53
54 static void jtag_add_scan_check(struct jtag_tap *active,
55 void (*jtag_add_scan)(struct jtag_tap *active,
56 int in_num_fields,
57 const struct scan_field *in_fields,
58 tap_state_t state),
59 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
60
61 /**
62 * The jtag_error variable is set when an error occurs while executing
63 * the queue. Application code may set this using jtag_set_error(),
64 * when an error occurs during processing that should be reported during
65 * jtag_execute_queue().
66 *
67 * The value is set and cleared, but never read by normal application code.
68 *
69 * This value is returned (and cleared) by jtag_execute_queue().
70 */
71 static int jtag_error = ERROR_OK;
72
73 static const char *jtag_event_strings[] = {
74 [JTAG_TRST_ASSERTED] = "TAP reset",
75 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
76 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
77 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
78 };
79
80 /*
81 * JTAG adapters must initialize with TRST and SRST de-asserted
82 * (they're negative logic, so that means *high*). But some
83 * hardware doesn't necessarily work that way ... so set things
84 * up so that jtag_init() always forces that state.
85 */
86 static int jtag_trst = -1;
87 static int jtag_srst = -1;
88
89 /**
90 * List all TAPs that have been created.
91 */
92 static struct jtag_tap *__jtag_all_taps;
93
94 static enum reset_types jtag_reset_config = RESET_NONE;
95 tap_state_t cmd_queue_cur_state = TAP_RESET;
96
97 static bool jtag_verify_capture_ir = true;
98 static int jtag_verify = 1;
99
100 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines
101 *deasserted (in ms) */
102 static int adapter_nsrst_delay; /* default to no nSRST delay */
103 static int jtag_ntrst_delay;/* default to no nTRST delay */
104 static int adapter_nsrst_assert_width; /* width of assertion */
105 static int jtag_ntrst_assert_width; /* width of assertion */
106
107 /**
108 * Contains a single callback along with a pointer that will be passed
109 * when an event occurs.
110 */
111 struct jtag_event_callback {
112 /** a event callback */
113 jtag_event_handler_t callback;
114 /** the private data to pass to the callback */
115 void *priv;
116 /** the next callback */
117 struct jtag_event_callback *next;
118 };
119
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
122
123 /* speed in kHz*/
124 static int speed_khz;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed;
129
130 static struct jtag_interface *jtag;
131
132 /* configuration */
133 struct jtag_interface *jtag_interface;
134
135 void jtag_set_flush_queue_sleep(int ms)
136 {
137 jtag_flush_queue_sleep = ms;
138 }
139
140 void jtag_set_error(int error)
141 {
142 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
143 return;
144 jtag_error = error;
145 }
146
147 int jtag_error_clear(void)
148 {
149 int temp = jtag_error;
150 jtag_error = ERROR_OK;
151 return temp;
152 }
153
154 /************/
155
156 static bool jtag_poll = 1;
157
158 bool is_jtag_poll_safe(void)
159 {
160 /* Polling can be disabled explicitly with set_enabled(false).
161 * It is also implicitly disabled while TRST is active and
162 * while SRST is gating the JTAG clock.
163 */
164 if (!transport_is_jtag())
165 return jtag_poll;
166
167 if (!jtag_poll || jtag_trst != 0)
168 return false;
169 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
170 }
171
172 bool jtag_poll_get_enabled(void)
173 {
174 return jtag_poll;
175 }
176
177 void jtag_poll_set_enabled(bool value)
178 {
179 jtag_poll = value;
180 }
181
182 /************/
183
184 struct jtag_tap *jtag_all_taps(void)
185 {
186 return __jtag_all_taps;
187 };
188
189 unsigned jtag_tap_count(void)
190 {
191 struct jtag_tap *t = jtag_all_taps();
192 unsigned n = 0;
193 while (t) {
194 n++;
195 t = t->next_tap;
196 }
197 return n;
198 }
199
200 unsigned jtag_tap_count_enabled(void)
201 {
202 struct jtag_tap *t = jtag_all_taps();
203 unsigned n = 0;
204 while (t) {
205 if (t->enabled)
206 n++;
207 t = t->next_tap;
208 }
209 return n;
210 }
211
212 /** Append a new TAP to the chain of all taps. */
213 void jtag_tap_add(struct jtag_tap *t)
214 {
215 unsigned jtag_num_taps = 0;
216
217 struct jtag_tap **tap = &__jtag_all_taps;
218 while (*tap != NULL) {
219 jtag_num_taps++;
220 tap = &(*tap)->next_tap;
221 }
222 *tap = t;
223 t->abs_chain_position = jtag_num_taps;
224 }
225
226 /* returns a pointer to the n-th device in the scan chain */
227 struct jtag_tap *jtag_tap_by_position(unsigned n)
228 {
229 struct jtag_tap *t = jtag_all_taps();
230
231 while (t && n-- > 0)
232 t = t->next_tap;
233
234 return t;
235 }
236
237 struct jtag_tap *jtag_tap_by_string(const char *s)
238 {
239 /* try by name first */
240 struct jtag_tap *t = jtag_all_taps();
241
242 while (t) {
243 if (0 == strcmp(t->dotted_name, s))
244 return t;
245 t = t->next_tap;
246 }
247
248 /* no tap found by name, so try to parse the name as a number */
249 unsigned n;
250 if (parse_uint(s, &n) != ERROR_OK)
251 return NULL;
252
253 /* FIXME remove this numeric fallback code late June 2010, along
254 * with all info in the User's Guide that TAPs have numeric IDs.
255 * Also update "scan_chain" output to not display the numbers.
256 */
257 t = jtag_tap_by_position(n);
258 if (t)
259 LOG_WARNING("Specify TAP '%s' by name, not number %u",
260 t->dotted_name, n);
261
262 return t;
263 }
264
265 struct jtag_tap *jtag_tap_next_enabled(struct jtag_tap *p)
266 {
267 p = p ? p->next_tap : jtag_all_taps();
268 while (p) {
269 if (p->enabled)
270 return p;
271 p = p->next_tap;
272 }
273 return NULL;
274 }
275
276 const char *jtag_tap_name(const struct jtag_tap *tap)
277 {
278 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
279 }
280
281
282 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
283 {
284 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
285
286 if (callback == NULL)
287 return ERROR_COMMAND_SYNTAX_ERROR;
288
289 if (*callbacks_p) {
290 while ((*callbacks_p)->next)
291 callbacks_p = &((*callbacks_p)->next);
292 callbacks_p = &((*callbacks_p)->next);
293 }
294
295 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
296 (*callbacks_p)->callback = callback;
297 (*callbacks_p)->priv = priv;
298 (*callbacks_p)->next = NULL;
299
300 return ERROR_OK;
301 }
302
303 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
304 {
305 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
306
307 if (callback == NULL)
308 return ERROR_COMMAND_SYNTAX_ERROR;
309
310 while (*p) {
311 if (((*p)->priv != priv) || ((*p)->callback != callback)) {
312 p = &(*p)->next;
313 continue;
314 }
315
316 temp = *p;
317 *p = (*p)->next;
318 free(temp);
319 }
320
321 return ERROR_OK;
322 }
323
324 int jtag_call_event_callbacks(enum jtag_event event)
325 {
326 struct jtag_event_callback *callback = jtag_event_callbacks;
327
328 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
329
330 while (callback) {
331 struct jtag_event_callback *next;
332
333 /* callback may remove itself */
334 next = callback->next;
335 callback->callback(event, callback->priv);
336 callback = next;
337 }
338
339 return ERROR_OK;
340 }
341
342 static void jtag_checks(void)
343 {
344 assert(jtag_trst == 0);
345 }
346
347 static void jtag_prelude(tap_state_t state)
348 {
349 jtag_checks();
350
351 assert(state != TAP_INVALID);
352
353 cmd_queue_cur_state = state;
354 }
355
356 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
357 tap_state_t state)
358 {
359 jtag_prelude(state);
360
361 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
362 jtag_set_error(retval);
363 }
364
365 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active,
366 int dummy,
367 const struct scan_field *in_fields,
368 tap_state_t state)
369 {
370 jtag_add_ir_scan_noverify(active, in_fields, state);
371 }
372
373 /* If fields->in_value is filled out, then the captured IR value will be checked */
374 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
375 {
376 assert(state != TAP_RESET);
377
378 if (jtag_verify && jtag_verify_capture_ir) {
379 /* 8 x 32 bit id's is enough for all invocations */
380
381 /* if we are to run a verification of the ir scan, we need to get the input back.
382 * We may have to allocate space if the caller didn't ask for the input back.
383 */
384 in_fields->check_value = active->expected;
385 in_fields->check_mask = active->expected_mask;
386 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields,
387 state);
388 } else
389 jtag_add_ir_scan_noverify(active, in_fields, state);
390 }
391
392 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
393 tap_state_t state)
394 {
395 assert(out_bits != NULL);
396 assert(state != TAP_RESET);
397
398 jtag_prelude(state);
399
400 int retval = interface_jtag_add_plain_ir_scan(
401 num_bits, out_bits, in_bits, state);
402 jtag_set_error(retval);
403 }
404
405 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
406 uint8_t *in_check_mask, int num_bits);
407
408 static int jtag_check_value_mask_callback(jtag_callback_data_t data0,
409 jtag_callback_data_t data1,
410 jtag_callback_data_t data2,
411 jtag_callback_data_t data3)
412 {
413 return jtag_check_value_inner((uint8_t *)data0,
414 (uint8_t *)data1,
415 (uint8_t *)data2,
416 (int)data3);
417 }
418
419 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(
420 struct jtag_tap *active,
421 int in_num_fields,
422 const struct scan_field *in_fields,
423 tap_state_t state),
424 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
425 {
426 jtag_add_scan(active, in_num_fields, in_fields, state);
427
428 for (int i = 0; i < in_num_fields; i++) {
429 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL)) {
430 /* this is synchronous for a minidriver */
431 jtag_add_callback4(jtag_check_value_mask_callback,
432 (jtag_callback_data_t)in_fields[i].in_value,
433 (jtag_callback_data_t)in_fields[i].check_value,
434 (jtag_callback_data_t)in_fields[i].check_mask,
435 (jtag_callback_data_t)in_fields[i].num_bits);
436 }
437 }
438 }
439
440 void jtag_add_dr_scan_check(struct jtag_tap *active,
441 int in_num_fields,
442 struct scan_field *in_fields,
443 tap_state_t state)
444 {
445 if (jtag_verify)
446 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
447 else
448 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
449 }
450
451
452 void jtag_add_dr_scan(struct jtag_tap *active,
453 int in_num_fields,
454 const struct scan_field *in_fields,
455 tap_state_t state)
456 {
457 assert(state != TAP_RESET);
458
459 jtag_prelude(state);
460
461 int retval;
462 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
463 jtag_set_error(retval);
464 }
465
466 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
467 tap_state_t state)
468 {
469 assert(out_bits != NULL);
470 assert(state != TAP_RESET);
471
472 jtag_prelude(state);
473
474 int retval;
475 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
476 jtag_set_error(retval);
477 }
478
479 void jtag_add_tlr(void)
480 {
481 jtag_prelude(TAP_RESET);
482 jtag_set_error(interface_jtag_add_tlr());
483
484 /* NOTE: order here matches TRST path in jtag_add_reset() */
485 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
486 jtag_notify_event(JTAG_TRST_ASSERTED);
487 }
488
489 /**
490 * If supported by the underlying adapter, this clocks a raw bit sequence
491 * onto TMS for switching betwen JTAG and SWD modes.
492 *
493 * DO NOT use this to bypass the integrity checks and logging provided
494 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
495 *
496 * @param nbits How many bits to clock out.
497 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
498 * @param state The JTAG tap state to record on completion. Use
499 * TAP_INVALID to represent being in in SWD mode.
500 *
501 * @todo Update naming conventions to stop assuming everything is JTAG.
502 */
503 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
504 {
505 int retval;
506
507 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
508 return ERROR_JTAG_NOT_IMPLEMENTED;
509
510 jtag_checks();
511 cmd_queue_cur_state = state;
512
513 retval = interface_add_tms_seq(nbits, seq, state);
514 jtag_set_error(retval);
515 return retval;
516 }
517
518 void jtag_add_pathmove(int num_states, const tap_state_t *path)
519 {
520 tap_state_t cur_state = cmd_queue_cur_state;
521
522 /* the last state has to be a stable state */
523 if (!tap_is_state_stable(path[num_states - 1])) {
524 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
525 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
526 return;
527 }
528
529 for (int i = 0; i < num_states; i++) {
530 if (path[i] == TAP_RESET) {
531 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
532 jtag_set_error(ERROR_JTAG_STATE_INVALID);
533 return;
534 }
535
536 if (tap_state_transition(cur_state, true) != path[i] &&
537 tap_state_transition(cur_state, false) != path[i]) {
538 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
539 tap_state_name(cur_state), tap_state_name(path[i]));
540 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
541 return;
542 }
543 cur_state = path[i];
544 }
545
546 jtag_checks();
547
548 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
549 cmd_queue_cur_state = path[num_states - 1];
550 }
551
552 int jtag_add_statemove(tap_state_t goal_state)
553 {
554 tap_state_t cur_state = cmd_queue_cur_state;
555
556 if (goal_state != cur_state) {
557 LOG_DEBUG("cur_state=%s goal_state=%s",
558 tap_state_name(cur_state),
559 tap_state_name(goal_state));
560 }
561
562 /* If goal is RESET, be paranoid and force that that transition
563 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
564 */
565 if (goal_state == TAP_RESET)
566 jtag_add_tlr();
567 else if (goal_state == cur_state)
568 /* nothing to do */;
569
570 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state)) {
571 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
572 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
573 tap_state_t moves[8];
574 assert(tms_count < ARRAY_SIZE(moves));
575
576 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1) {
577 bool bit = tms_bits & 1;
578
579 cur_state = tap_state_transition(cur_state, bit);
580 moves[i] = cur_state;
581 }
582
583 jtag_add_pathmove(tms_count, moves);
584 } else if (tap_state_transition(cur_state, true) == goal_state
585 || tap_state_transition(cur_state, false) == goal_state)
586 jtag_add_pathmove(1, &goal_state);
587 else
588 return ERROR_FAIL;
589
590 return ERROR_OK;
591 }
592
593 void jtag_add_runtest(int num_cycles, tap_state_t state)
594 {
595 jtag_prelude(state);
596 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
597 }
598
599
600 void jtag_add_clocks(int num_cycles)
601 {
602 if (!tap_is_state_stable(cmd_queue_cur_state)) {
603 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
604 tap_state_name(cmd_queue_cur_state));
605 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
606 return;
607 }
608
609 if (num_cycles > 0) {
610 jtag_checks();
611 jtag_set_error(interface_jtag_add_clocks(num_cycles));
612 }
613 }
614
615 void swd_add_reset(int req_srst)
616 {
617 if (req_srst) {
618 if (!(jtag_reset_config & RESET_HAS_SRST)) {
619 LOG_ERROR("BUG: can't assert SRST");
620 jtag_set_error(ERROR_FAIL);
621 return;
622 }
623 req_srst = 1;
624 }
625
626 /* Maybe change SRST signal state */
627 if (jtag_srst != req_srst) {
628 int retval;
629
630 retval = interface_jtag_add_reset(0, req_srst);
631 if (retval != ERROR_OK)
632 jtag_set_error(retval);
633 else
634 retval = jtag_execute_queue();
635
636 if (retval != ERROR_OK) {
637 LOG_ERROR("TRST/SRST error");
638 return;
639 }
640
641 /* SRST resets everything hooked up to that signal */
642 jtag_srst = req_srst;
643 if (jtag_srst) {
644 LOG_DEBUG("SRST line asserted");
645 if (adapter_nsrst_assert_width)
646 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
647 } else {
648 LOG_DEBUG("SRST line released");
649 if (adapter_nsrst_delay)
650 jtag_add_sleep(adapter_nsrst_delay * 1000);
651 }
652 }
653 }
654
655 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
656 {
657 int trst_with_tlr = 0;
658 int new_srst = 0;
659 int new_trst = 0;
660
661 /* Without SRST, we must use target-specific JTAG operations
662 * on each target; callers should not be requesting SRST when
663 * that signal doesn't exist.
664 *
665 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
666 * can kick in even if the JTAG adapter can't drive TRST.
667 */
668 if (req_srst) {
669 if (!(jtag_reset_config & RESET_HAS_SRST)) {
670 LOG_ERROR("BUG: can't assert SRST");
671 jtag_set_error(ERROR_FAIL);
672 return;
673 }
674 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
675 && !req_tlr_or_trst) {
676 LOG_ERROR("BUG: can't assert only SRST");
677 jtag_set_error(ERROR_FAIL);
678 return;
679 }
680 new_srst = 1;
681 }
682
683 /* JTAG reset (entry to TAP_RESET state) can always be achieved
684 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
685 * state first. TRST accelerates it, and bypasses those states.
686 *
687 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
688 * can kick in even if the JTAG adapter can't drive SRST.
689 */
690 if (req_tlr_or_trst) {
691 if (!(jtag_reset_config & RESET_HAS_TRST))
692 trst_with_tlr = 1;
693 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
694 && !req_srst)
695 trst_with_tlr = 1;
696 else
697 new_trst = 1;
698 }
699
700 /* Maybe change TRST and/or SRST signal state */
701 if (jtag_srst != new_srst || jtag_trst != new_trst) {
702 int retval;
703
704 retval = interface_jtag_add_reset(new_trst, new_srst);
705 if (retval != ERROR_OK)
706 jtag_set_error(retval);
707 else
708 retval = jtag_execute_queue();
709
710 if (retval != ERROR_OK) {
711 LOG_ERROR("TRST/SRST error");
712 return;
713 }
714 }
715
716 /* SRST resets everything hooked up to that signal */
717 if (jtag_srst != new_srst) {
718 jtag_srst = new_srst;
719 if (jtag_srst) {
720 LOG_DEBUG("SRST line asserted");
721 if (adapter_nsrst_assert_width)
722 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
723 } else {
724 LOG_DEBUG("SRST line released");
725 if (adapter_nsrst_delay)
726 jtag_add_sleep(adapter_nsrst_delay * 1000);
727 }
728 }
729
730 /* Maybe enter the JTAG TAP_RESET state ...
731 * - using only TMS, TCK, and the JTAG state machine
732 * - or else more directly, using TRST
733 *
734 * TAP_RESET should be invisible to non-debug parts of the system.
735 */
736 if (trst_with_tlr) {
737 LOG_DEBUG("JTAG reset with TLR instead of TRST");
738 jtag_add_tlr();
739
740 } else if (jtag_trst != new_trst) {
741 jtag_trst = new_trst;
742 if (jtag_trst) {
743 LOG_DEBUG("TRST line asserted");
744 tap_set_state(TAP_RESET);
745 if (jtag_ntrst_assert_width)
746 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
747 } else {
748 LOG_DEBUG("TRST line released");
749 if (jtag_ntrst_delay)
750 jtag_add_sleep(jtag_ntrst_delay * 1000);
751
752 /* We just asserted nTRST, so we're now in TAP_RESET.
753 * Inform possible listeners about this, now that
754 * JTAG instructions and data can be shifted. This
755 * sequence must match jtag_add_tlr().
756 */
757 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
758 jtag_notify_event(JTAG_TRST_ASSERTED);
759 }
760 }
761 }
762
763 void jtag_add_sleep(uint32_t us)
764 {
765 /** @todo Here, keep_alive() appears to be a layering violation!!! */
766 keep_alive();
767 jtag_set_error(interface_jtag_add_sleep(us));
768 }
769
770 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
771 uint8_t *in_check_mask, int num_bits)
772 {
773 int retval = ERROR_OK;
774 int compare_failed;
775
776 if (in_check_mask)
777 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
778 else
779 compare_failed = buf_cmp(captured, in_check_value, num_bits);
780
781 if (compare_failed) {
782 char *captured_str, *in_check_value_str;
783 int bits = (num_bits > DEBUG_JTAG_IOZ) ? DEBUG_JTAG_IOZ : num_bits;
784
785 /* NOTE: we've lost diagnostic context here -- 'which tap' */
786
787 captured_str = buf_to_str(captured, bits, 16);
788 in_check_value_str = buf_to_str(in_check_value, bits, 16);
789
790 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
791 captured_str);
792 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
793
794 free(captured_str);
795 free(in_check_value_str);
796
797 if (in_check_mask) {
798 char *in_check_mask_str;
799
800 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
801 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
802 free(in_check_mask_str);
803 }
804
805 retval = ERROR_JTAG_QUEUE_FAILED;
806 }
807 return retval;
808 }
809
810 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
811 {
812 assert(field->in_value != NULL);
813
814 if (value == NULL) {
815 /* no checking to do */
816 return;
817 }
818
819 jtag_execute_queue_noclear();
820
821 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
822 jtag_set_error(retval);
823 }
824
825 int default_interface_jtag_execute_queue(void)
826 {
827 if (NULL == jtag) {
828 LOG_ERROR("No JTAG interface configured yet. "
829 "Issue 'init' command in startup scripts "
830 "before communicating with targets.");
831 return ERROR_FAIL;
832 }
833
834 return jtag->execute_queue();
835 }
836
837 void jtag_execute_queue_noclear(void)
838 {
839 jtag_flush_queue_count++;
840 jtag_set_error(interface_jtag_execute_queue());
841
842 if (jtag_flush_queue_sleep > 0) {
843 /* For debug purposes it can be useful to test performance
844 * or behavior when delaying after flushing the queue,
845 * e.g. to simulate long roundtrip times.
846 */
847 usleep(jtag_flush_queue_sleep * 1000);
848 }
849 }
850
851 int jtag_get_flush_queue_count(void)
852 {
853 return jtag_flush_queue_count;
854 }
855
856 int jtag_execute_queue(void)
857 {
858 jtag_execute_queue_noclear();
859 return jtag_error_clear();
860 }
861
862 static int jtag_reset_callback(enum jtag_event event, void *priv)
863 {
864 struct jtag_tap *tap = priv;
865
866 if (event == JTAG_TRST_ASSERTED) {
867 tap->enabled = !tap->disabled_after_reset;
868
869 /* current instruction is either BYPASS or IDCODE */
870 buf_set_ones(tap->cur_instr, tap->ir_length);
871 tap->bypass = 1;
872 }
873
874 return ERROR_OK;
875 }
876
877 /* sleep at least us microseconds. When we sleep more than 1000ms we
878 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
879 * GDB if we slept for <1000ms many times.
880 */
881 void jtag_sleep(uint32_t us)
882 {
883 if (us < 1000)
884 usleep(us);
885 else
886 alive_sleep((us+999)/1000);
887 }
888
889 /* Maximum number of enabled JTAG devices we expect in the scan chain,
890 * plus one (to detect garbage at the end). Devices that don't support
891 * IDCODE take up fewer bits, possibly allowing a few more devices.
892 */
893 #define JTAG_MAX_CHAIN_SIZE 20
894
895 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
896 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
897 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
898
899 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
900 * know that no valid TAP will have it as an IDCODE value.
901 */
902 #define END_OF_CHAIN_FLAG 0xffffffff
903
904 /* a larger IR length than we ever expect to autoprobe */
905 #define JTAG_IRLEN_MAX 60
906
907 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
908 {
909 struct scan_field field = {
910 .num_bits = num_idcode * 32,
911 .out_value = idcode_buffer,
912 .in_value = idcode_buffer,
913 };
914
915 /* initialize to the end of chain ID value */
916 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
917 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
918
919 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
920 jtag_add_tlr();
921 return jtag_execute_queue();
922 }
923
924 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
925 {
926 uint8_t zero_check = 0x0;
927 uint8_t one_check = 0xff;
928
929 for (unsigned i = 0; i < count * 4; i++) {
930 zero_check |= idcodes[i];
931 one_check &= idcodes[i];
932 }
933
934 /* if there wasn't a single non-zero bit or if all bits were one,
935 * the scan is not valid. We wrote a mix of both values; either
936 *
937 * - There's a hardware issue (almost certainly):
938 * + all-zeroes can mean a target stuck in JTAG reset
939 * + all-ones tends to mean no target
940 * - The scan chain is WAY longer than we can handle, *AND* either
941 * + there are several hundreds of TAPs in bypass, or
942 * + at least a few dozen TAPs all have an all-ones IDCODE
943 */
944 if (zero_check == 0x00 || one_check == 0xff) {
945 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
946 (zero_check == 0x00) ? "zeroes" : "ones");
947 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
948 return false;
949 }
950 return true;
951 }
952
953 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
954 const char *name, uint32_t idcode)
955 {
956 log_printf_lf(level, __FILE__, __LINE__, __func__,
957 "JTAG tap: %s %16.16s: 0x%08x "
958 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
959 name, msg,
960 (unsigned int)idcode,
961 (unsigned int)EXTRACT_MFG(idcode),
962 (unsigned int)EXTRACT_PART(idcode),
963 (unsigned int)EXTRACT_VER(idcode));
964 }
965
966 static bool jtag_idcode_is_final(uint32_t idcode)
967 {
968 /*
969 * Some devices, such as AVR8, will output all 1's instead
970 * of TDI input value at end of chain. Allow those values
971 * instead of failing.
972 */
973 return idcode == END_OF_CHAIN_FLAG;
974 }
975
976 /**
977 * This helper checks that remaining bits in the examined chain data are
978 * all as expected, but a single JTAG device requires only 64 bits to be
979 * read back correctly. This can help identify and diagnose problems
980 * with the JTAG chain earlier, gives more helpful/explicit error messages.
981 * Returns TRUE iff garbage was found.
982 */
983 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
984 {
985 bool triggered = false;
986 for (; count < max - 31; count += 32) {
987 uint32_t idcode = buf_get_u32(idcodes, count, 32);
988
989 /* do not trigger the warning if the data looks good */
990 if (jtag_idcode_is_final(idcode))
991 continue;
992 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
993 count, (unsigned int)idcode);
994 triggered = true;
995 }
996 return triggered;
997 }
998
999 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
1000 {
1001 uint32_t idcode = tap->idcode;
1002
1003 /* ignore expected BYPASS codes; warn otherwise */
1004 if (0 == tap->expected_ids_cnt && !idcode)
1005 return true;
1006
1007 /* optionally ignore the JTAG version field - bits 28-31 of IDCODE */
1008 uint32_t mask = tap->ignore_version ? ~(0xf << 28) : ~0;
1009
1010 idcode &= mask;
1011
1012 /* Loop over the expected identification codes and test for a match */
1013 unsigned ii, limit = tap->expected_ids_cnt;
1014
1015 for (ii = 0; ii < limit; ii++) {
1016 uint32_t expected = tap->expected_ids[ii] & mask;
1017
1018 if (idcode == expected)
1019 return true;
1020
1021 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1022 if (0 == tap->expected_ids[ii])
1023 return true;
1024 }
1025
1026 /* If none of the expected ids matched, warn */
1027 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1028 tap->dotted_name, tap->idcode);
1029 for (ii = 0; ii < limit; ii++) {
1030 char msg[32];
1031
1032 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1033 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1034 tap->dotted_name, tap->expected_ids[ii]);
1035 }
1036 return false;
1037 }
1038
1039 /* Try to examine chain layout according to IEEE 1149.1 §12
1040 * This is called a "blind interrogation" of the scan chain.
1041 */
1042 static int jtag_examine_chain(void)
1043 {
1044 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1045 unsigned bit_count;
1046 int retval;
1047 int tapcount = 0;
1048 bool autoprobe = false;
1049
1050 /* DR scan to collect BYPASS or IDCODE register contents.
1051 * Then make sure the scan data has both ones and zeroes.
1052 */
1053 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1054 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1055 if (retval != ERROR_OK)
1056 return retval;
1057 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1058 return ERROR_JTAG_INIT_FAILED;
1059
1060 /* point at the 1st tap */
1061 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1062
1063 if (!tap)
1064 autoprobe = true;
1065
1066 for (bit_count = 0;
1067 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1068 tap = jtag_tap_next_enabled(tap)) {
1069 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1070
1071 if ((idcode & 1) == 0) {
1072 /* Zero for LSB indicates a device in bypass */
1073 LOG_INFO("TAP %s does not have IDCODE",
1074 tap->dotted_name);
1075 idcode = 0;
1076 tap->hasidcode = false;
1077
1078 bit_count += 1;
1079 } else {
1080 /* Friendly devices support IDCODE */
1081 tap->hasidcode = true;
1082 jtag_examine_chain_display(LOG_LVL_INFO,
1083 "tap/device found",
1084 tap->dotted_name, idcode);
1085
1086 bit_count += 32;
1087 }
1088 tap->idcode = idcode;
1089
1090 /* ensure the TAP ID matches what was expected */
1091 if (!jtag_examine_chain_match_tap(tap))
1092 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1093 }
1094
1095 /* Fail if too many TAPs were enabled for us to verify them all. */
1096 if (tap) {
1097 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1098 tap->dotted_name);
1099 return ERROR_JTAG_INIT_FAILED;
1100 }
1101
1102 /* if autoprobing, the tap list is still empty ... populate it! */
1103 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1104 uint32_t idcode;
1105 char buf[12];
1106
1107 /* Is there another TAP? */
1108 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1109 if (jtag_idcode_is_final(idcode))
1110 break;
1111
1112 /* Default everything in this TAP except IR length.
1113 *
1114 * REVISIT create a jtag_alloc(chip, tap) routine, and
1115 * share it with jim_newtap_cmd().
1116 */
1117 tap = calloc(1, sizeof *tap);
1118 if (!tap)
1119 return ERROR_FAIL;
1120
1121 sprintf(buf, "auto%d", tapcount++);
1122 tap->chip = strdup(buf);
1123 tap->tapname = strdup("tap");
1124
1125 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1126 tap->dotted_name = strdup(buf);
1127
1128 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1129 tap->ir_capture_mask = 0x03;
1130 tap->ir_capture_value = 0x01;
1131
1132 tap->enabled = true;
1133
1134 if ((idcode & 1) == 0) {
1135 bit_count += 1;
1136 tap->hasidcode = false;
1137 } else {
1138 bit_count += 32;
1139 tap->hasidcode = true;
1140 tap->idcode = idcode;
1141
1142 tap->expected_ids_cnt = 1;
1143 tap->expected_ids = malloc(sizeof(uint32_t));
1144 tap->expected_ids[0] = idcode;
1145 }
1146
1147 LOG_WARNING("AUTO %s - use \"jtag newtap "
1148 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1149 tap->dotted_name, tap->chip, tap->tapname,
1150 tap->idcode);
1151
1152 jtag_tap_init(tap);
1153 }
1154
1155 /* After those IDCODE or BYPASS register values should be
1156 * only the data we fed into the scan chain.
1157 */
1158 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1159 8 * sizeof(idcode_buffer))) {
1160 LOG_ERROR("double-check your JTAG setup (interface, "
1161 "speed, missing TAPs, ...)");
1162 return ERROR_JTAG_INIT_FAILED;
1163 }
1164
1165 /* Return success or, for backwards compatibility if only
1166 * some IDCODE values mismatched, a soft/continuable fault.
1167 */
1168 return retval;
1169 }
1170
1171 /*
1172 * Validate the date loaded by entry to the Capture-IR state, to help
1173 * find errors related to scan chain configuration (wrong IR lengths)
1174 * or communication.
1175 *
1176 * Entry state can be anything. On non-error exit, all TAPs are in
1177 * bypass mode. On error exits, the scan chain is reset.
1178 */
1179 static int jtag_validate_ircapture(void)
1180 {
1181 struct jtag_tap *tap;
1182 int total_ir_length = 0;
1183 uint8_t *ir_test = NULL;
1184 struct scan_field field;
1185 uint64_t val;
1186 int chain_pos = 0;
1187 int retval;
1188
1189 /* when autoprobing, accomodate huge IR lengths */
1190 for (tap = NULL, total_ir_length = 0;
1191 (tap = jtag_tap_next_enabled(tap)) != NULL;
1192 total_ir_length += tap->ir_length) {
1193 if (tap->ir_length == 0)
1194 total_ir_length += JTAG_IRLEN_MAX;
1195 }
1196
1197 /* increase length to add 2 bit sentinel after scan */
1198 total_ir_length += 2;
1199
1200 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1201 if (ir_test == NULL)
1202 return ERROR_FAIL;
1203
1204 /* after this scan, all TAPs will capture BYPASS instructions */
1205 buf_set_ones(ir_test, total_ir_length);
1206
1207 field.num_bits = total_ir_length;
1208 field.out_value = ir_test;
1209 field.in_value = ir_test;
1210
1211 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1212
1213 LOG_DEBUG("IR capture validation scan");
1214 retval = jtag_execute_queue();
1215 if (retval != ERROR_OK)
1216 goto done;
1217
1218 tap = NULL;
1219 chain_pos = 0;
1220
1221 for (;; ) {
1222 tap = jtag_tap_next_enabled(tap);
1223 if (tap == NULL)
1224 break;
1225
1226 /* If we're autoprobing, guess IR lengths. They must be at
1227 * least two bits. Guessing will fail if (a) any TAP does
1228 * not conform to the JTAG spec; or (b) when the upper bits
1229 * captured from some conforming TAP are nonzero. Or if
1230 * (c) an IR length is longer than 32 bits -- which is only
1231 * an implementation limit, which could someday be raised.
1232 *
1233 * REVISIT optimization: if there's a *single* TAP we can
1234 * lift restrictions (a) and (b) by scanning a recognizable
1235 * pattern before the all-ones BYPASS. Check for where the
1236 * pattern starts in the result, instead of an 0...01 value.
1237 *
1238 * REVISIT alternative approach: escape to some tcl code
1239 * which could provide more knowledge, based on IDCODE; and
1240 * only guess when that has no success.
1241 */
1242 if (tap->ir_length == 0) {
1243 tap->ir_length = 2;
1244 while ((val = buf_get_u64(ir_test, chain_pos, tap->ir_length + 1)) == 1
1245 && tap->ir_length <= 64) {
1246 tap->ir_length++;
1247 }
1248 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1249 jtag_tap_name(tap), tap->ir_length);
1250 }
1251
1252 /* Validate the two LSBs, which must be 01 per JTAG spec.
1253 *
1254 * Or ... more bits could be provided by TAP declaration.
1255 * Plus, some taps (notably in i.MX series chips) violate
1256 * this part of the JTAG spec, so their capture mask/value
1257 * attributes might disable this test.
1258 */
1259 val = buf_get_u64(ir_test, chain_pos, tap->ir_length);
1260 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1261 LOG_ERROR("%s: IR capture error; saw 0x%0*" PRIx64 " not 0x%0*" PRIx32,
1262 jtag_tap_name(tap),
1263 (tap->ir_length + 7) / tap->ir_length, val,
1264 (tap->ir_length + 7) / tap->ir_length, tap->ir_capture_value);
1265
1266 retval = ERROR_JTAG_INIT_FAILED;
1267 goto done;
1268 }
1269 LOG_DEBUG("%s: IR capture 0x%0*" PRIx64, jtag_tap_name(tap),
1270 (tap->ir_length + 7) / tap->ir_length, val);
1271 chain_pos += tap->ir_length;
1272 }
1273
1274 /* verify the '11' sentinel we wrote is returned at the end */
1275 val = buf_get_u64(ir_test, chain_pos, 2);
1276 if (val != 0x3) {
1277 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1278
1279 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1280 chain_pos, cbuf);
1281 free(cbuf);
1282 retval = ERROR_JTAG_INIT_FAILED;
1283 }
1284
1285 done:
1286 free(ir_test);
1287 if (retval != ERROR_OK) {
1288 jtag_add_tlr();
1289 jtag_execute_queue();
1290 }
1291 return retval;
1292 }
1293
1294 void jtag_tap_init(struct jtag_tap *tap)
1295 {
1296 unsigned ir_len_bits;
1297 unsigned ir_len_bytes;
1298
1299 /* if we're autoprobing, cope with potentially huge ir_length */
1300 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1301 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1302
1303 tap->expected = calloc(1, ir_len_bytes);
1304 tap->expected_mask = calloc(1, ir_len_bytes);
1305 tap->cur_instr = malloc(ir_len_bytes);
1306
1307 /** @todo cope better with ir_length bigger than 32 bits */
1308 if (ir_len_bits > 32)
1309 ir_len_bits = 32;
1310
1311 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1312 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1313
1314 /* TAP will be in bypass mode after jtag_validate_ircapture() */
1315 tap->bypass = 1;
1316 buf_set_ones(tap->cur_instr, tap->ir_length);
1317
1318 /* register the reset callback for the TAP */
1319 jtag_register_event_callback(&jtag_reset_callback, tap);
1320 jtag_tap_add(tap);
1321
1322 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1323 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1324 tap->abs_chain_position, tap->ir_length,
1325 (unsigned) tap->ir_capture_value,
1326 (unsigned) tap->ir_capture_mask);
1327 }
1328
1329 void jtag_tap_free(struct jtag_tap *tap)
1330 {
1331 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1332
1333 free(tap->expected);
1334 free(tap->expected_mask);
1335 free(tap->expected_ids);
1336 free(tap->cur_instr);
1337 free(tap->chip);
1338 free(tap->tapname);
1339 free(tap->dotted_name);
1340 free(tap);
1341 }
1342
1343 /**
1344 * Do low-level setup like initializing registers, output signals,
1345 * and clocking.
1346 */
1347 int adapter_init(struct command_context *cmd_ctx)
1348 {
1349 if (jtag)
1350 return ERROR_OK;
1351
1352 if (!jtag_interface) {
1353 /* nothing was previously specified by "interface" command */
1354 LOG_ERROR("Debug Adapter has to be specified, "
1355 "see \"interface\" command");
1356 return ERROR_JTAG_INVALID_INTERFACE;
1357 }
1358
1359 int retval;
1360 retval = jtag_interface->init();
1361 if (retval != ERROR_OK)
1362 return retval;
1363 jtag = jtag_interface;
1364
1365 /* LEGACY SUPPORT ... adapter drivers must declare what
1366 * transports they allow. Until they all do so, assume
1367 * the legacy drivers are JTAG-only
1368 */
1369 if (!transports_are_declared()) {
1370 LOG_ERROR("Adapter driver '%s' did not declare "
1371 "which transports it allows; assuming "
1372 "JTAG-only", jtag->name);
1373 retval = allow_transports(cmd_ctx, jtag_only);
1374 if (retval != ERROR_OK)
1375 return retval;
1376 }
1377
1378 if (jtag->speed == NULL) {
1379 LOG_INFO("This adapter doesn't support configurable speed");
1380 return ERROR_OK;
1381 }
1382
1383 if (CLOCK_MODE_UNSELECTED == clock_mode) {
1384 LOG_ERROR("An adapter speed is not selected in the init script."
1385 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1386 return ERROR_JTAG_INIT_FAILED;
1387 }
1388
1389 int requested_khz = jtag_get_speed_khz();
1390 int actual_khz = requested_khz;
1391 int jtag_speed_var = 0;
1392 retval = jtag_get_speed(&jtag_speed_var);
1393 if (retval != ERROR_OK)
1394 return retval;
1395 retval = jtag->speed(jtag_speed_var);
1396 if (retval != ERROR_OK)
1397 return retval;
1398 retval = jtag_get_speed_readable(&actual_khz);
1399 if (ERROR_OK != retval)
1400 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1401 else if (actual_khz) {
1402 /* Adaptive clocking -- JTAG-specific */
1403 if ((CLOCK_MODE_RCLK == clock_mode)
1404 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz)) {
1405 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1406 , actual_khz);
1407 } else
1408 LOG_INFO("clock speed %d kHz", actual_khz);
1409 } else
1410 LOG_INFO("RCLK (adaptive clock speed)");
1411
1412 return ERROR_OK;
1413 }
1414
1415 int jtag_init_inner(struct command_context *cmd_ctx)
1416 {
1417 struct jtag_tap *tap;
1418 int retval;
1419 bool issue_setup = true;
1420
1421 LOG_DEBUG("Init JTAG chain");
1422
1423 tap = jtag_tap_next_enabled(NULL);
1424 if (tap == NULL) {
1425 /* Once JTAG itself is properly set up, and the scan chain
1426 * isn't absurdly large, IDCODE autoprobe should work fine.
1427 *
1428 * But ... IRLEN autoprobe can fail even on systems which
1429 * are fully conformant to JTAG. Also, JTAG setup can be
1430 * quite finicky on some systems.
1431 *
1432 * REVISIT: if TAP autoprobe works OK, then in many cases
1433 * we could escape to tcl code and set up targets based on
1434 * the TAP's IDCODE values.
1435 */
1436 LOG_WARNING("There are no enabled taps. "
1437 "AUTO PROBING MIGHT NOT WORK!!");
1438
1439 /* REVISIT default clock will often be too fast ... */
1440 }
1441
1442 jtag_add_tlr();
1443 retval = jtag_execute_queue();
1444 if (retval != ERROR_OK)
1445 return retval;
1446
1447 /* Examine DR values first. This discovers problems which will
1448 * prevent communication ... hardware issues like TDO stuck, or
1449 * configuring the wrong number of (enabled) TAPs.
1450 */
1451 retval = jtag_examine_chain();
1452 switch (retval) {
1453 case ERROR_OK:
1454 /* complete success */
1455 break;
1456 default:
1457 /* For backward compatibility reasons, try coping with
1458 * configuration errors involving only ID mismatches.
1459 * We might be able to talk to the devices.
1460 *
1461 * Also the device might be powered down during startup.
1462 *
1463 * After OpenOCD starts, we can try to power on the device
1464 * and run a reset.
1465 */
1466 LOG_ERROR("Trying to use configured scan chain anyway...");
1467 issue_setup = false;
1468 break;
1469 }
1470
1471 /* Now look at IR values. Problems here will prevent real
1472 * communication. They mostly mean that the IR length is
1473 * wrong ... or that the IR capture value is wrong. (The
1474 * latter is uncommon, but easily worked around: provide
1475 * ircapture/irmask values during TAP setup.)
1476 */
1477 retval = jtag_validate_ircapture();
1478 if (retval != ERROR_OK) {
1479 /* The target might be powered down. The user
1480 * can power it up and reset it after firing
1481 * up OpenOCD.
1482 */
1483 issue_setup = false;
1484 }
1485
1486 if (issue_setup)
1487 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1488 else
1489 LOG_WARNING("Bypassing JTAG setup events due to errors");
1490
1491
1492 return ERROR_OK;
1493 }
1494
1495 int adapter_quit(void)
1496 {
1497 if (!jtag || !jtag->quit)
1498 return ERROR_OK;
1499
1500 /* close the JTAG interface */
1501 int result = jtag->quit();
1502 if (ERROR_OK != result)
1503 LOG_ERROR("failed: %d", result);
1504
1505 return ERROR_OK;
1506 }
1507
1508 int swd_init_reset(struct command_context *cmd_ctx)
1509 {
1510 int retval = adapter_init(cmd_ctx);
1511 if (retval != ERROR_OK)
1512 return retval;
1513
1514 LOG_DEBUG("Initializing with hard SRST reset");
1515
1516 if (jtag_reset_config & RESET_HAS_SRST)
1517 swd_add_reset(1);
1518 swd_add_reset(0);
1519 retval = jtag_execute_queue();
1520 return retval;
1521 }
1522
1523 int jtag_init_reset(struct command_context *cmd_ctx)
1524 {
1525 int retval = adapter_init(cmd_ctx);
1526 if (retval != ERROR_OK)
1527 return retval;
1528
1529 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1530
1531 /*
1532 * This procedure is used by default when OpenOCD triggers a reset.
1533 * It's now done through an overridable Tcl "init_reset" wrapper.
1534 *
1535 * This started out as a more powerful "get JTAG working" reset than
1536 * jtag_init_inner(), applying TRST because some chips won't activate
1537 * JTAG without a TRST cycle (presumed to be async, though some of
1538 * those chips synchronize JTAG activation using TCK).
1539 *
1540 * But some chips only activate JTAG as part of an SRST cycle; SRST
1541 * got mixed in. So it became a hard reset routine, which got used
1542 * in more places, and which coped with JTAG reset being forced as
1543 * part of SRST (srst_pulls_trst).
1544 *
1545 * And even more corner cases started to surface: TRST and/or SRST
1546 * assertion timings matter; some chips need other JTAG operations;
1547 * TRST/SRST sequences can need to be different from these, etc.
1548 *
1549 * Systems should override that wrapper to support system-specific
1550 * requirements that this not-fully-generic code doesn't handle.
1551 *
1552 * REVISIT once Tcl code can read the reset_config modes, this won't
1553 * need to be a C routine at all...
1554 */
1555 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1556 if (jtag_reset_config & RESET_HAS_SRST) {
1557 jtag_add_reset(1, 1);
1558 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1559 jtag_add_reset(0, 1);
1560 }
1561
1562 /* some targets enable us to connect with srst asserted */
1563 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1564 if (jtag_reset_config & RESET_SRST_NO_GATING)
1565 jtag_add_reset(0, 1);
1566 else {
1567 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1568 jtag_add_reset(0, 0);
1569 }
1570 } else
1571 jtag_add_reset(0, 0);
1572 retval = jtag_execute_queue();
1573 if (retval != ERROR_OK)
1574 return retval;
1575
1576 /* Check that we can communication on the JTAG chain + eventually we want to
1577 * be able to perform enumeration only after OpenOCD has started
1578 * telnet and GDB server
1579 *
1580 * That would allow users to more easily perform any magic they need to before
1581 * reset happens.
1582 */
1583 return jtag_init_inner(cmd_ctx);
1584 }
1585
1586 int jtag_init(struct command_context *cmd_ctx)
1587 {
1588 int retval = adapter_init(cmd_ctx);
1589 if (retval != ERROR_OK)
1590 return retval;
1591
1592 /* guard against oddball hardware: force resets to be inactive */
1593 jtag_add_reset(0, 0);
1594
1595 /* some targets enable us to connect with srst asserted */
1596 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1597 if (jtag_reset_config & RESET_SRST_NO_GATING)
1598 jtag_add_reset(0, 1);
1599 else
1600 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1601 }
1602 retval = jtag_execute_queue();
1603 if (retval != ERROR_OK)
1604 return retval;
1605
1606 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1607 return ERROR_FAIL;
1608
1609 return ERROR_OK;
1610 }
1611
1612 unsigned jtag_get_speed_khz(void)
1613 {
1614 return speed_khz;
1615 }
1616
1617 static int adapter_khz_to_speed(unsigned khz, int *speed)
1618 {
1619 LOG_DEBUG("convert khz to interface specific speed value");
1620 speed_khz = khz;
1621 if (jtag != NULL) {
1622 LOG_DEBUG("have interface set up");
1623 int speed_div1;
1624 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1625 if (ERROR_OK != retval)
1626 return retval;
1627 *speed = speed_div1;
1628 }
1629 return ERROR_OK;
1630 }
1631
1632 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int *speed)
1633 {
1634 int retval = adapter_khz_to_speed(0, speed);
1635 if ((ERROR_OK != retval) && fallback_speed_khz) {
1636 LOG_DEBUG("trying fallback speed...");
1637 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1638 }
1639 return retval;
1640 }
1641
1642 static int jtag_set_speed(int speed)
1643 {
1644 jtag_speed = speed;
1645 /* this command can be called during CONFIG,
1646 * in which case jtag isn't initialized */
1647 return jtag ? jtag->speed(speed) : ERROR_OK;
1648 }
1649
1650 int jtag_config_khz(unsigned khz)
1651 {
1652 LOG_DEBUG("handle jtag khz");
1653 clock_mode = CLOCK_MODE_KHZ;
1654 int speed = 0;
1655 int retval = adapter_khz_to_speed(khz, &speed);
1656 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1657 }
1658
1659 int jtag_config_rclk(unsigned fallback_speed_khz)
1660 {
1661 LOG_DEBUG("handle jtag rclk");
1662 clock_mode = CLOCK_MODE_RCLK;
1663 rclk_fallback_speed_khz = fallback_speed_khz;
1664 int speed = 0;
1665 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1666 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1667 }
1668
1669 int jtag_get_speed(int *speed)
1670 {
1671 switch (clock_mode) {
1672 case CLOCK_MODE_KHZ:
1673 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1674 break;
1675 case CLOCK_MODE_RCLK:
1676 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1677 break;
1678 default:
1679 LOG_ERROR("BUG: unknown jtag clock mode");
1680 return ERROR_FAIL;
1681 }
1682 return ERROR_OK;
1683 }
1684
1685 int jtag_get_speed_readable(int *khz)
1686 {
1687 int jtag_speed_var = 0;
1688 int retval = jtag_get_speed(&jtag_speed_var);
1689 if (retval != ERROR_OK)
1690 return retval;
1691 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1692 }
1693
1694 void jtag_set_verify(bool enable)
1695 {
1696 jtag_verify = enable;
1697 }
1698
1699 bool jtag_will_verify()
1700 {
1701 return jtag_verify;
1702 }
1703
1704 void jtag_set_verify_capture_ir(bool enable)
1705 {
1706 jtag_verify_capture_ir = enable;
1707 }
1708
1709 bool jtag_will_verify_capture_ir()
1710 {
1711 return jtag_verify_capture_ir;
1712 }
1713
1714 int jtag_power_dropout(int *dropout)
1715 {
1716 if (jtag == NULL) {
1717 /* TODO: as the jtag interface is not valid all
1718 * we can do at the moment is exit OpenOCD */
1719 LOG_ERROR("No Valid JTAG Interface Configured.");
1720 exit(-1);
1721 }
1722 return jtag->power_dropout(dropout);
1723 }
1724
1725 int jtag_srst_asserted(int *srst_asserted)
1726 {
1727 return jtag->srst_asserted(srst_asserted);
1728 }
1729
1730 enum reset_types jtag_get_reset_config(void)
1731 {
1732 return jtag_reset_config;
1733 }
1734 void jtag_set_reset_config(enum reset_types type)
1735 {
1736 jtag_reset_config = type;
1737 }
1738
1739 int jtag_get_trst(void)
1740 {
1741 return jtag_trst;
1742 }
1743 int jtag_get_srst(void)
1744 {
1745 return jtag_srst;
1746 }
1747
1748 void jtag_set_nsrst_delay(unsigned delay)
1749 {
1750 adapter_nsrst_delay = delay;
1751 }
1752 unsigned jtag_get_nsrst_delay(void)
1753 {
1754 return adapter_nsrst_delay;
1755 }
1756 void jtag_set_ntrst_delay(unsigned delay)
1757 {
1758 jtag_ntrst_delay = delay;
1759 }
1760 unsigned jtag_get_ntrst_delay(void)
1761 {
1762 return jtag_ntrst_delay;
1763 }
1764
1765
1766 void jtag_set_nsrst_assert_width(unsigned delay)
1767 {
1768 adapter_nsrst_assert_width = delay;
1769 }
1770 unsigned jtag_get_nsrst_assert_width(void)
1771 {
1772 return adapter_nsrst_assert_width;
1773 }
1774 void jtag_set_ntrst_assert_width(unsigned delay)
1775 {
1776 jtag_ntrst_assert_width = delay;
1777 }
1778 unsigned jtag_get_ntrst_assert_width(void)
1779 {
1780 return jtag_ntrst_assert_width;
1781 }
1782
1783 static int jtag_select(struct command_context *ctx)
1784 {
1785 int retval;
1786
1787 /* NOTE: interface init must already have been done.
1788 * That works with only C code ... no Tcl glue required.
1789 */
1790
1791 retval = jtag_register_commands(ctx);
1792
1793 if (retval != ERROR_OK)
1794 return retval;
1795
1796 retval = svf_register_commands(ctx);
1797
1798 if (retval != ERROR_OK)
1799 return retval;
1800
1801 return xsvf_register_commands(ctx);
1802 }
1803
1804 static struct transport jtag_transport = {
1805 .name = "jtag",
1806 .select = jtag_select,
1807 .init = jtag_init,
1808 };
1809
1810 static void jtag_constructor(void) __attribute__((constructor));
1811 static void jtag_constructor(void)
1812 {
1813 transport_register(&jtag_transport);
1814 }
1815
1816 /** Returns true if the current debug session
1817 * is using JTAG as its transport.
1818 */
1819 bool transport_is_jtag(void)
1820 {
1821 return get_current_transport() == &jtag_transport;
1822 }
1823
1824 void adapter_assert_reset(void)
1825 {
1826 if (transport_is_jtag()) {
1827 if (jtag_reset_config & RESET_SRST_PULLS_TRST)
1828 jtag_add_reset(1, 1);
1829 else
1830 jtag_add_reset(0, 1);
1831 } else if (transport_is_swd())
1832 swd_add_reset(1);
1833 else if (transport_is_cmsis_dap())
1834 swd_add_reset(1); /* FIXME */
1835 else if (get_current_transport() != NULL)
1836 LOG_ERROR("reset is not supported on %s",
1837 get_current_transport()->name);
1838 else
1839 LOG_ERROR("transport is not selected");
1840 }
1841
1842 void adapter_deassert_reset(void)
1843 {
1844 if (transport_is_jtag())
1845 jtag_add_reset(0, 0);
1846 else if (transport_is_swd())
1847 swd_add_reset(0);
1848 else if (transport_is_cmsis_dap())
1849 swd_add_reset(0); /* FIXME */
1850 else if (get_current_transport() != NULL)
1851 LOG_ERROR("reset is not supported on %s",
1852 get_current_transport()->name);
1853 else
1854 LOG_ERROR("transport is not selected");
1855 }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)