usb_blaster: maintainer-clean Makefile.in
[openocd.git] / src / jtag / core.c
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. *
29 ***************************************************************************/
30
31 #ifdef HAVE_CONFIG_H
32 #include "config.h"
33 #endif
34
35 #include "jtag.h"
36 #include "swd.h"
37 #include "interface.h"
38 #include <transport/transport.h>
39
40 #ifdef HAVE_STRINGS_H
41 #include <strings.h>
42 #endif
43
44 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
45 #include "svf/svf.h"
46 #include "xsvf/xsvf.h"
47
48 /** The number of JTAG queue flushes (for profiling and debugging purposes). */
49 static int jtag_flush_queue_count;
50
51 /* Sleep this # of ms after flushing the queue */
52 static int jtag_flush_queue_sleep;
53
54 static void jtag_add_scan_check(struct jtag_tap *active,
55 void (*jtag_add_scan)(struct jtag_tap *active,
56 int in_num_fields,
57 const struct scan_field *in_fields,
58 tap_state_t state),
59 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
60
61 /**
62 * The jtag_error variable is set when an error occurs while executing
63 * the queue. Application code may set this using jtag_set_error(),
64 * when an error occurs during processing that should be reported during
65 * jtag_execute_queue().
66 *
67 * The value is set and cleared, but never read by normal application code.
68 *
69 * This value is returned (and cleared) by jtag_execute_queue().
70 */
71 static int jtag_error = ERROR_OK;
72
73 static const char *jtag_event_strings[] = {
74 [JTAG_TRST_ASSERTED] = "TAP reset",
75 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
76 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
77 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
78 };
79
80 /*
81 * JTAG adapters must initialize with TRST and SRST de-asserted
82 * (they're negative logic, so that means *high*). But some
83 * hardware doesn't necessarily work that way ... so set things
84 * up so that jtag_init() always forces that state.
85 */
86 static int jtag_trst = -1;
87 static int jtag_srst = -1;
88
89 /**
90 * List all TAPs that have been created.
91 */
92 static struct jtag_tap *__jtag_all_taps;
93 /**
94 * The number of TAPs in the __jtag_all_taps list, used to track the
95 * assigned chain position to new TAPs
96 */
97 static unsigned jtag_num_taps;
98
99 static enum reset_types jtag_reset_config = RESET_NONE;
100 tap_state_t cmd_queue_cur_state = TAP_RESET;
101
102 static bool jtag_verify_capture_ir = true;
103 static int jtag_verify = 1;
104
105 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines
106 *deasserted (in ms) */
107 static int adapter_nsrst_delay; /* default to no nSRST delay */
108 static int jtag_ntrst_delay;/* default to no nTRST delay */
109 static int adapter_nsrst_assert_width; /* width of assertion */
110 static int jtag_ntrst_assert_width; /* width of assertion */
111
112 /**
113 * Contains a single callback along with a pointer that will be passed
114 * when an event occurs.
115 */
116 struct jtag_event_callback {
117 /** a event callback */
118 jtag_event_handler_t callback;
119 /** the private data to pass to the callback */
120 void *priv;
121 /** the next callback */
122 struct jtag_event_callback *next;
123 };
124
125 /* callbacks to inform high-level handlers about JTAG state changes */
126 static struct jtag_event_callback *jtag_event_callbacks;
127
128 /* speed in kHz*/
129 static int speed_khz;
130 /* speed to fallback to when RCLK is requested but not supported */
131 static int rclk_fallback_speed_khz;
132 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
133 static int jtag_speed;
134
135 static struct jtag_interface *jtag;
136
137 const struct swd_driver *swd;
138
139 /* configuration */
140 struct jtag_interface *jtag_interface;
141
142 void jtag_set_flush_queue_sleep(int ms)
143 {
144 jtag_flush_queue_sleep = ms;
145 }
146
147 void jtag_set_error(int error)
148 {
149 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
150 return;
151 jtag_error = error;
152 }
153
154 int jtag_error_clear(void)
155 {
156 int temp = jtag_error;
157 jtag_error = ERROR_OK;
158 return temp;
159 }
160
161 /************/
162
163 static bool jtag_poll = 1;
164
165 bool is_jtag_poll_safe(void)
166 {
167 /* Polling can be disabled explicitly with set_enabled(false).
168 * It is also implicitly disabled while TRST is active and
169 * while SRST is gating the JTAG clock.
170 */
171 if (!jtag_poll || jtag_trst != 0)
172 return false;
173 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
174 }
175
176 bool jtag_poll_get_enabled(void)
177 {
178 return jtag_poll;
179 }
180
181 void jtag_poll_set_enabled(bool value)
182 {
183 jtag_poll = value;
184 }
185
186 /************/
187
188 struct jtag_tap *jtag_all_taps(void)
189 {
190 return __jtag_all_taps;
191 };
192
193 unsigned jtag_tap_count(void)
194 {
195 return jtag_num_taps;
196 }
197
198 unsigned jtag_tap_count_enabled(void)
199 {
200 struct jtag_tap *t = jtag_all_taps();
201 unsigned n = 0;
202 while (t) {
203 if (t->enabled)
204 n++;
205 t = t->next_tap;
206 }
207 return n;
208 }
209
210 /** Append a new TAP to the chain of all taps. */
211 void jtag_tap_add(struct jtag_tap *t)
212 {
213 t->abs_chain_position = jtag_num_taps++;
214
215 struct jtag_tap **tap = &__jtag_all_taps;
216 while (*tap != NULL)
217 tap = &(*tap)->next_tap;
218 *tap = t;
219 }
220
221 /* returns a pointer to the n-th device in the scan chain */
222 struct jtag_tap *jtag_tap_by_position(unsigned n)
223 {
224 struct jtag_tap *t = jtag_all_taps();
225
226 while (t && n-- > 0)
227 t = t->next_tap;
228
229 return t;
230 }
231
232 struct jtag_tap *jtag_tap_by_string(const char *s)
233 {
234 /* try by name first */
235 struct jtag_tap *t = jtag_all_taps();
236
237 while (t) {
238 if (0 == strcmp(t->dotted_name, s))
239 return t;
240 t = t->next_tap;
241 }
242
243 /* no tap found by name, so try to parse the name as a number */
244 unsigned n;
245 if (parse_uint(s, &n) != ERROR_OK)
246 return NULL;
247
248 /* FIXME remove this numeric fallback code late June 2010, along
249 * with all info in the User's Guide that TAPs have numeric IDs.
250 * Also update "scan_chain" output to not display the numbers.
251 */
252 t = jtag_tap_by_position(n);
253 if (t)
254 LOG_WARNING("Specify TAP '%s' by name, not number %u",
255 t->dotted_name, n);
256
257 return t;
258 }
259
260 struct jtag_tap *jtag_tap_next_enabled(struct jtag_tap *p)
261 {
262 p = p ? p->next_tap : jtag_all_taps();
263 while (p) {
264 if (p->enabled)
265 return p;
266 p = p->next_tap;
267 }
268 return NULL;
269 }
270
271 const char *jtag_tap_name(const struct jtag_tap *tap)
272 {
273 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
274 }
275
276
277 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
278 {
279 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
280
281 if (callback == NULL)
282 return ERROR_COMMAND_SYNTAX_ERROR;
283
284 if (*callbacks_p) {
285 while ((*callbacks_p)->next)
286 callbacks_p = &((*callbacks_p)->next);
287 callbacks_p = &((*callbacks_p)->next);
288 }
289
290 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
291 (*callbacks_p)->callback = callback;
292 (*callbacks_p)->priv = priv;
293 (*callbacks_p)->next = NULL;
294
295 return ERROR_OK;
296 }
297
298 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
299 {
300 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
301
302 if (callback == NULL)
303 return ERROR_COMMAND_SYNTAX_ERROR;
304
305 while (*p) {
306 if (((*p)->priv != priv) || ((*p)->callback != callback)) {
307 p = &(*p)->next;
308 continue;
309 }
310
311 temp = *p;
312 *p = (*p)->next;
313 free(temp);
314 }
315
316 return ERROR_OK;
317 }
318
319 int jtag_call_event_callbacks(enum jtag_event event)
320 {
321 struct jtag_event_callback *callback = jtag_event_callbacks;
322
323 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
324
325 while (callback) {
326 struct jtag_event_callback *next;
327
328 /* callback may remove itself */
329 next = callback->next;
330 callback->callback(event, callback->priv);
331 callback = next;
332 }
333
334 return ERROR_OK;
335 }
336
337 static void jtag_checks(void)
338 {
339 assert(jtag_trst == 0);
340 }
341
342 static void jtag_prelude(tap_state_t state)
343 {
344 jtag_checks();
345
346 assert(state != TAP_INVALID);
347
348 cmd_queue_cur_state = state;
349 }
350
351 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
352 tap_state_t state)
353 {
354 jtag_prelude(state);
355
356 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
357 jtag_set_error(retval);
358 }
359
360 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active,
361 int dummy,
362 const struct scan_field *in_fields,
363 tap_state_t state)
364 {
365 jtag_add_ir_scan_noverify(active, in_fields, state);
366 }
367
368 /* If fields->in_value is filled out, then the captured IR value will be checked */
369 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
370 {
371 assert(state != TAP_RESET);
372
373 if (jtag_verify && jtag_verify_capture_ir) {
374 /* 8 x 32 bit id's is enough for all invocations */
375
376 /* if we are to run a verification of the ir scan, we need to get the input back.
377 * We may have to allocate space if the caller didn't ask for the input back.
378 */
379 in_fields->check_value = active->expected;
380 in_fields->check_mask = active->expected_mask;
381 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields,
382 state);
383 } else
384 jtag_add_ir_scan_noverify(active, in_fields, state);
385 }
386
387 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
388 tap_state_t state)
389 {
390 assert(out_bits != NULL);
391 assert(state != TAP_RESET);
392
393 jtag_prelude(state);
394
395 int retval = interface_jtag_add_plain_ir_scan(
396 num_bits, out_bits, in_bits, state);
397 jtag_set_error(retval);
398 }
399
400 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
401 uint8_t *in_check_mask, int num_bits);
402
403 static int jtag_check_value_mask_callback(jtag_callback_data_t data0,
404 jtag_callback_data_t data1,
405 jtag_callback_data_t data2,
406 jtag_callback_data_t data3)
407 {
408 return jtag_check_value_inner((uint8_t *)data0,
409 (uint8_t *)data1,
410 (uint8_t *)data2,
411 (int)data3);
412 }
413
414 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(
415 struct jtag_tap *active,
416 int in_num_fields,
417 const struct scan_field *in_fields,
418 tap_state_t state),
419 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
420 {
421 jtag_add_scan(active, in_num_fields, in_fields, state);
422
423 for (int i = 0; i < in_num_fields; i++) {
424 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL)) {
425 /* this is synchronous for a minidriver */
426 jtag_add_callback4(jtag_check_value_mask_callback,
427 (jtag_callback_data_t)in_fields[i].in_value,
428 (jtag_callback_data_t)in_fields[i].check_value,
429 (jtag_callback_data_t)in_fields[i].check_mask,
430 (jtag_callback_data_t)in_fields[i].num_bits);
431 }
432 }
433 }
434
435 void jtag_add_dr_scan_check(struct jtag_tap *active,
436 int in_num_fields,
437 struct scan_field *in_fields,
438 tap_state_t state)
439 {
440 if (jtag_verify)
441 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
442 else
443 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
444 }
445
446
447 void jtag_add_dr_scan(struct jtag_tap *active,
448 int in_num_fields,
449 const struct scan_field *in_fields,
450 tap_state_t state)
451 {
452 assert(state != TAP_RESET);
453
454 jtag_prelude(state);
455
456 int retval;
457 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
458 jtag_set_error(retval);
459 }
460
461 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
462 tap_state_t state)
463 {
464 assert(out_bits != NULL);
465 assert(state != TAP_RESET);
466
467 jtag_prelude(state);
468
469 int retval;
470 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
471 jtag_set_error(retval);
472 }
473
474 void jtag_add_tlr(void)
475 {
476 jtag_prelude(TAP_RESET);
477 jtag_set_error(interface_jtag_add_tlr());
478
479 /* NOTE: order here matches TRST path in jtag_add_reset() */
480 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
481 jtag_notify_event(JTAG_TRST_ASSERTED);
482 }
483
484 /**
485 * If supported by the underlying adapter, this clocks a raw bit sequence
486 * onto TMS for switching betwen JTAG and SWD modes.
487 *
488 * DO NOT use this to bypass the integrity checks and logging provided
489 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
490 *
491 * @param nbits How many bits to clock out.
492 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
493 * @param state The JTAG tap state to record on completion. Use
494 * TAP_INVALID to represent being in in SWD mode.
495 *
496 * @todo Update naming conventions to stop assuming everything is JTAG.
497 */
498 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
499 {
500 int retval;
501
502 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
503 return ERROR_JTAG_NOT_IMPLEMENTED;
504
505 jtag_checks();
506 cmd_queue_cur_state = state;
507
508 retval = interface_add_tms_seq(nbits, seq, state);
509 jtag_set_error(retval);
510 return retval;
511 }
512
513 void jtag_add_pathmove(int num_states, const tap_state_t *path)
514 {
515 tap_state_t cur_state = cmd_queue_cur_state;
516
517 /* the last state has to be a stable state */
518 if (!tap_is_state_stable(path[num_states - 1])) {
519 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
520 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
521 return;
522 }
523
524 for (int i = 0; i < num_states; i++) {
525 if (path[i] == TAP_RESET) {
526 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
527 jtag_set_error(ERROR_JTAG_STATE_INVALID);
528 return;
529 }
530
531 if (tap_state_transition(cur_state, true) != path[i] &&
532 tap_state_transition(cur_state, false) != path[i]) {
533 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
534 tap_state_name(cur_state), tap_state_name(path[i]));
535 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
536 return;
537 }
538 cur_state = path[i];
539 }
540
541 jtag_checks();
542
543 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
544 cmd_queue_cur_state = path[num_states - 1];
545 }
546
547 int jtag_add_statemove(tap_state_t goal_state)
548 {
549 tap_state_t cur_state = cmd_queue_cur_state;
550
551 if (goal_state != cur_state) {
552 LOG_DEBUG("cur_state=%s goal_state=%s",
553 tap_state_name(cur_state),
554 tap_state_name(goal_state));
555 }
556
557 /* If goal is RESET, be paranoid and force that that transition
558 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
559 */
560 if (goal_state == TAP_RESET)
561 jtag_add_tlr();
562 else if (goal_state == cur_state)
563 /* nothing to do */;
564
565 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state)) {
566 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
567 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
568 tap_state_t moves[8];
569 assert(tms_count < ARRAY_SIZE(moves));
570
571 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1) {
572 bool bit = tms_bits & 1;
573
574 cur_state = tap_state_transition(cur_state, bit);
575 moves[i] = cur_state;
576 }
577
578 jtag_add_pathmove(tms_count, moves);
579 } else if (tap_state_transition(cur_state, true) == goal_state
580 || tap_state_transition(cur_state, false) == goal_state)
581 jtag_add_pathmove(1, &goal_state);
582 else
583 return ERROR_FAIL;
584
585 return ERROR_OK;
586 }
587
588 void jtag_add_runtest(int num_cycles, tap_state_t state)
589 {
590 jtag_prelude(state);
591 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
592 }
593
594
595 void jtag_add_clocks(int num_cycles)
596 {
597 if (!tap_is_state_stable(cmd_queue_cur_state)) {
598 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
599 tap_state_name(cmd_queue_cur_state));
600 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
601 return;
602 }
603
604 if (num_cycles > 0) {
605 jtag_checks();
606 jtag_set_error(interface_jtag_add_clocks(num_cycles));
607 }
608 }
609
610 void swd_add_reset(int req_srst)
611 {
612 if (req_srst) {
613 if (!(jtag_reset_config & RESET_HAS_SRST)) {
614 LOG_ERROR("BUG: can't assert SRST");
615 jtag_set_error(ERROR_FAIL);
616 return;
617 }
618 req_srst = 1;
619 }
620
621 /* Maybe change SRST signal state */
622 if (jtag_srst != req_srst) {
623 int retval;
624
625 retval = interface_jtag_add_reset(0, req_srst);
626 if (retval != ERROR_OK)
627 jtag_set_error(retval);
628 else
629 retval = jtag_execute_queue();
630
631 if (retval != ERROR_OK) {
632 LOG_ERROR("TRST/SRST error");
633 return;
634 }
635
636 /* SRST resets everything hooked up to that signal */
637 jtag_srst = req_srst;
638 if (jtag_srst) {
639 LOG_DEBUG("SRST line asserted");
640 if (adapter_nsrst_assert_width)
641 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
642 } else {
643 LOG_DEBUG("SRST line released");
644 if (adapter_nsrst_delay)
645 jtag_add_sleep(adapter_nsrst_delay * 1000);
646 }
647 }
648 }
649
650 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
651 {
652 int trst_with_tlr = 0;
653 int new_srst = 0;
654 int new_trst = 0;
655
656 /* Without SRST, we must use target-specific JTAG operations
657 * on each target; callers should not be requesting SRST when
658 * that signal doesn't exist.
659 *
660 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
661 * can kick in even if the JTAG adapter can't drive TRST.
662 */
663 if (req_srst) {
664 if (!(jtag_reset_config & RESET_HAS_SRST)) {
665 LOG_ERROR("BUG: can't assert SRST");
666 jtag_set_error(ERROR_FAIL);
667 return;
668 }
669 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
670 && !req_tlr_or_trst) {
671 LOG_ERROR("BUG: can't assert only SRST");
672 jtag_set_error(ERROR_FAIL);
673 return;
674 }
675 new_srst = 1;
676 }
677
678 /* JTAG reset (entry to TAP_RESET state) can always be achieved
679 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
680 * state first. TRST accelerates it, and bypasses those states.
681 *
682 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
683 * can kick in even if the JTAG adapter can't drive SRST.
684 */
685 if (req_tlr_or_trst) {
686 if (!(jtag_reset_config & RESET_HAS_TRST))
687 trst_with_tlr = 1;
688 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
689 && !req_srst)
690 trst_with_tlr = 1;
691 else
692 new_trst = 1;
693 }
694
695 /* Maybe change TRST and/or SRST signal state */
696 if (jtag_srst != new_srst || jtag_trst != new_trst) {
697 int retval;
698
699 retval = interface_jtag_add_reset(new_trst, new_srst);
700 if (retval != ERROR_OK)
701 jtag_set_error(retval);
702 else
703 retval = jtag_execute_queue();
704
705 if (retval != ERROR_OK) {
706 LOG_ERROR("TRST/SRST error");
707 return;
708 }
709 }
710
711 /* SRST resets everything hooked up to that signal */
712 if (jtag_srst != new_srst) {
713 jtag_srst = new_srst;
714 if (jtag_srst) {
715 LOG_DEBUG("SRST line asserted");
716 if (adapter_nsrst_assert_width)
717 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
718 } else {
719 LOG_DEBUG("SRST line released");
720 if (adapter_nsrst_delay)
721 jtag_add_sleep(adapter_nsrst_delay * 1000);
722 }
723 }
724
725 /* Maybe enter the JTAG TAP_RESET state ...
726 * - using only TMS, TCK, and the JTAG state machine
727 * - or else more directly, using TRST
728 *
729 * TAP_RESET should be invisible to non-debug parts of the system.
730 */
731 if (trst_with_tlr) {
732 LOG_DEBUG("JTAG reset with TLR instead of TRST");
733 jtag_add_tlr();
734
735 } else if (jtag_trst != new_trst) {
736 jtag_trst = new_trst;
737 if (jtag_trst) {
738 LOG_DEBUG("TRST line asserted");
739 tap_set_state(TAP_RESET);
740 if (jtag_ntrst_assert_width)
741 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
742 } else {
743 LOG_DEBUG("TRST line released");
744 if (jtag_ntrst_delay)
745 jtag_add_sleep(jtag_ntrst_delay * 1000);
746
747 /* We just asserted nTRST, so we're now in TAP_RESET.
748 * Inform possible listeners about this, now that
749 * JTAG instructions and data can be shifted. This
750 * sequence must match jtag_add_tlr().
751 */
752 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
753 jtag_notify_event(JTAG_TRST_ASSERTED);
754 }
755 }
756 }
757
758 void jtag_add_sleep(uint32_t us)
759 {
760 /** @todo Here, keep_alive() appears to be a layering violation!!! */
761 keep_alive();
762 jtag_set_error(interface_jtag_add_sleep(us));
763 }
764
765 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
766 uint8_t *in_check_mask, int num_bits)
767 {
768 int retval = ERROR_OK;
769 int compare_failed;
770
771 if (in_check_mask)
772 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
773 else
774 compare_failed = buf_cmp(captured, in_check_value, num_bits);
775
776 if (compare_failed) {
777 char *captured_str, *in_check_value_str;
778 int bits = (num_bits > DEBUG_JTAG_IOZ) ? DEBUG_JTAG_IOZ : num_bits;
779
780 /* NOTE: we've lost diagnostic context here -- 'which tap' */
781
782 captured_str = buf_to_str(captured, bits, 16);
783 in_check_value_str = buf_to_str(in_check_value, bits, 16);
784
785 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
786 captured_str);
787 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
788
789 free(captured_str);
790 free(in_check_value_str);
791
792 if (in_check_mask) {
793 char *in_check_mask_str;
794
795 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
796 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
797 free(in_check_mask_str);
798 }
799
800 retval = ERROR_JTAG_QUEUE_FAILED;
801 }
802 return retval;
803 }
804
805 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
806 {
807 assert(field->in_value != NULL);
808
809 if (value == NULL) {
810 /* no checking to do */
811 return;
812 }
813
814 jtag_execute_queue_noclear();
815
816 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
817 jtag_set_error(retval);
818 }
819
820 int default_interface_jtag_execute_queue(void)
821 {
822 if (NULL == jtag) {
823 LOG_ERROR("No JTAG interface configured yet. "
824 "Issue 'init' command in startup scripts "
825 "before communicating with targets.");
826 return ERROR_FAIL;
827 }
828
829 return jtag->execute_queue();
830 }
831
832 void jtag_execute_queue_noclear(void)
833 {
834 jtag_flush_queue_count++;
835 jtag_set_error(interface_jtag_execute_queue());
836
837 if (jtag_flush_queue_sleep > 0) {
838 /* For debug purposes it can be useful to test performance
839 * or behavior when delaying after flushing the queue,
840 * e.g. to simulate long roundtrip times.
841 */
842 usleep(jtag_flush_queue_sleep * 1000);
843 }
844 }
845
846 int jtag_get_flush_queue_count(void)
847 {
848 return jtag_flush_queue_count;
849 }
850
851 int jtag_execute_queue(void)
852 {
853 jtag_execute_queue_noclear();
854 return jtag_error_clear();
855 }
856
857 static int jtag_reset_callback(enum jtag_event event, void *priv)
858 {
859 struct jtag_tap *tap = priv;
860
861 if (event == JTAG_TRST_ASSERTED) {
862 tap->enabled = !tap->disabled_after_reset;
863
864 /* current instruction is either BYPASS or IDCODE */
865 buf_set_ones(tap->cur_instr, tap->ir_length);
866 tap->bypass = 1;
867 }
868
869 return ERROR_OK;
870 }
871
872 /* sleep at least us microseconds. When we sleep more than 1000ms we
873 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
874 * GDB if we slept for <1000ms many times.
875 */
876 void jtag_sleep(uint32_t us)
877 {
878 if (us < 1000)
879 usleep(us);
880 else
881 alive_sleep((us+999)/1000);
882 }
883
884 /* Maximum number of enabled JTAG devices we expect in the scan chain,
885 * plus one (to detect garbage at the end). Devices that don't support
886 * IDCODE take up fewer bits, possibly allowing a few more devices.
887 */
888 #define JTAG_MAX_CHAIN_SIZE 20
889
890 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
891 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
892 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
893
894 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
895 * know that no valid TAP will have it as an IDCODE value.
896 */
897 #define END_OF_CHAIN_FLAG 0xffffffff
898
899 /* a larger IR length than we ever expect to autoprobe */
900 #define JTAG_IRLEN_MAX 60
901
902 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
903 {
904 struct scan_field field = {
905 .num_bits = num_idcode * 32,
906 .out_value = idcode_buffer,
907 .in_value = idcode_buffer,
908 };
909
910 /* initialize to the end of chain ID value */
911 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
912 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
913
914 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
915 jtag_add_tlr();
916 return jtag_execute_queue();
917 }
918
919 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
920 {
921 uint8_t zero_check = 0x0;
922 uint8_t one_check = 0xff;
923
924 for (unsigned i = 0; i < count * 4; i++) {
925 zero_check |= idcodes[i];
926 one_check &= idcodes[i];
927 }
928
929 /* if there wasn't a single non-zero bit or if all bits were one,
930 * the scan is not valid. We wrote a mix of both values; either
931 *
932 * - There's a hardware issue (almost certainly):
933 * + all-zeroes can mean a target stuck in JTAG reset
934 * + all-ones tends to mean no target
935 * - The scan chain is WAY longer than we can handle, *AND* either
936 * + there are several hundreds of TAPs in bypass, or
937 * + at least a few dozen TAPs all have an all-ones IDCODE
938 */
939 if (zero_check == 0x00 || one_check == 0xff) {
940 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
941 (zero_check == 0x00) ? "zeroes" : "ones");
942 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
943 return false;
944 }
945 return true;
946 }
947
948 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
949 const char *name, uint32_t idcode)
950 {
951 log_printf_lf(level, __FILE__, __LINE__, __func__,
952 "JTAG tap: %s %16.16s: 0x%08x "
953 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
954 name, msg,
955 (unsigned int)idcode,
956 (unsigned int)EXTRACT_MFG(idcode),
957 (unsigned int)EXTRACT_PART(idcode),
958 (unsigned int)EXTRACT_VER(idcode));
959 }
960
961 static bool jtag_idcode_is_final(uint32_t idcode)
962 {
963 /*
964 * Some devices, such as AVR8, will output all 1's instead
965 * of TDI input value at end of chain. Allow those values
966 * instead of failing.
967 */
968 return idcode == END_OF_CHAIN_FLAG;
969 }
970
971 /**
972 * This helper checks that remaining bits in the examined chain data are
973 * all as expected, but a single JTAG device requires only 64 bits to be
974 * read back correctly. This can help identify and diagnose problems
975 * with the JTAG chain earlier, gives more helpful/explicit error messages.
976 * Returns TRUE iff garbage was found.
977 */
978 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
979 {
980 bool triggered = false;
981 for (; count < max - 31; count += 32) {
982 uint32_t idcode = buf_get_u32(idcodes, count, 32);
983
984 /* do not trigger the warning if the data looks good */
985 if (jtag_idcode_is_final(idcode))
986 continue;
987 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
988 count, (unsigned int)idcode);
989 triggered = true;
990 }
991 return triggered;
992 }
993
994 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
995 {
996 uint32_t idcode = tap->idcode;
997
998 /* ignore expected BYPASS codes; warn otherwise */
999 if (0 == tap->expected_ids_cnt && !idcode)
1000 return true;
1001
1002 /* optionally ignore the JTAG version field - bits 28-31 of IDCODE */
1003 uint32_t mask = tap->ignore_version ? ~(0xf << 28) : ~0;
1004
1005 idcode &= mask;
1006
1007 /* Loop over the expected identification codes and test for a match */
1008 unsigned ii, limit = tap->expected_ids_cnt;
1009
1010 for (ii = 0; ii < limit; ii++) {
1011 uint32_t expected = tap->expected_ids[ii] & mask;
1012
1013 if (idcode == expected)
1014 return true;
1015
1016 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1017 if (0 == tap->expected_ids[ii])
1018 return true;
1019 }
1020
1021 /* If none of the expected ids matched, warn */
1022 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1023 tap->dotted_name, tap->idcode);
1024 for (ii = 0; ii < limit; ii++) {
1025 char msg[32];
1026
1027 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1028 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1029 tap->dotted_name, tap->expected_ids[ii]);
1030 }
1031 return false;
1032 }
1033
1034 /* Try to examine chain layout according to IEEE 1149.1 §12
1035 * This is called a "blind interrogation" of the scan chain.
1036 */
1037 static int jtag_examine_chain(void)
1038 {
1039 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1040 unsigned bit_count;
1041 int retval;
1042 int tapcount = 0;
1043 bool autoprobe = false;
1044
1045 /* DR scan to collect BYPASS or IDCODE register contents.
1046 * Then make sure the scan data has both ones and zeroes.
1047 */
1048 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1049 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1050 if (retval != ERROR_OK)
1051 return retval;
1052 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1053 return ERROR_JTAG_INIT_FAILED;
1054
1055 /* point at the 1st tap */
1056 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1057
1058 if (!tap)
1059 autoprobe = true;
1060
1061 for (bit_count = 0;
1062 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1063 tap = jtag_tap_next_enabled(tap)) {
1064 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1065
1066 if ((idcode & 1) == 0) {
1067 /* Zero for LSB indicates a device in bypass */
1068 LOG_INFO("TAP %s does not have IDCODE",
1069 tap->dotted_name);
1070 idcode = 0;
1071 tap->hasidcode = false;
1072
1073 bit_count += 1;
1074 } else {
1075 /* Friendly devices support IDCODE */
1076 tap->hasidcode = true;
1077 jtag_examine_chain_display(LOG_LVL_INFO,
1078 "tap/device found",
1079 tap->dotted_name, idcode);
1080
1081 bit_count += 32;
1082 }
1083 tap->idcode = idcode;
1084
1085 /* ensure the TAP ID matches what was expected */
1086 if (!jtag_examine_chain_match_tap(tap))
1087 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1088 }
1089
1090 /* Fail if too many TAPs were enabled for us to verify them all. */
1091 if (tap) {
1092 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1093 tap->dotted_name);
1094 return ERROR_JTAG_INIT_FAILED;
1095 }
1096
1097 /* if autoprobing, the tap list is still empty ... populate it! */
1098 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1099 uint32_t idcode;
1100 char buf[12];
1101
1102 /* Is there another TAP? */
1103 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1104 if (jtag_idcode_is_final(idcode))
1105 break;
1106
1107 /* Default everything in this TAP except IR length.
1108 *
1109 * REVISIT create a jtag_alloc(chip, tap) routine, and
1110 * share it with jim_newtap_cmd().
1111 */
1112 tap = calloc(1, sizeof *tap);
1113 if (!tap)
1114 return ERROR_FAIL;
1115
1116 sprintf(buf, "auto%d", tapcount++);
1117 tap->chip = strdup(buf);
1118 tap->tapname = strdup("tap");
1119
1120 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1121 tap->dotted_name = strdup(buf);
1122
1123 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1124 tap->ir_capture_mask = 0x03;
1125 tap->ir_capture_value = 0x01;
1126
1127 tap->enabled = true;
1128
1129 if ((idcode & 1) == 0) {
1130 bit_count += 1;
1131 tap->hasidcode = false;
1132 } else {
1133 bit_count += 32;
1134 tap->hasidcode = true;
1135 tap->idcode = idcode;
1136
1137 tap->expected_ids_cnt = 1;
1138 tap->expected_ids = malloc(sizeof(uint32_t));
1139 tap->expected_ids[0] = idcode;
1140 }
1141
1142 LOG_WARNING("AUTO %s - use \"jtag newtap "
1143 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1144 tap->dotted_name, tap->chip, tap->tapname,
1145 tap->idcode);
1146
1147 jtag_tap_init(tap);
1148 }
1149
1150 /* After those IDCODE or BYPASS register values should be
1151 * only the data we fed into the scan chain.
1152 */
1153 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1154 8 * sizeof(idcode_buffer))) {
1155 LOG_ERROR("double-check your JTAG setup (interface, "
1156 "speed, missing TAPs, ...)");
1157 return ERROR_JTAG_INIT_FAILED;
1158 }
1159
1160 /* Return success or, for backwards compatibility if only
1161 * some IDCODE values mismatched, a soft/continuable fault.
1162 */
1163 return retval;
1164 }
1165
1166 /*
1167 * Validate the date loaded by entry to the Capture-IR state, to help
1168 * find errors related to scan chain configuration (wrong IR lengths)
1169 * or communication.
1170 *
1171 * Entry state can be anything. On non-error exit, all TAPs are in
1172 * bypass mode. On error exits, the scan chain is reset.
1173 */
1174 static int jtag_validate_ircapture(void)
1175 {
1176 struct jtag_tap *tap;
1177 int total_ir_length = 0;
1178 uint8_t *ir_test = NULL;
1179 struct scan_field field;
1180 uint64_t val;
1181 int chain_pos = 0;
1182 int retval;
1183
1184 /* when autoprobing, accomodate huge IR lengths */
1185 for (tap = NULL, total_ir_length = 0;
1186 (tap = jtag_tap_next_enabled(tap)) != NULL;
1187 total_ir_length += tap->ir_length) {
1188 if (tap->ir_length == 0)
1189 total_ir_length += JTAG_IRLEN_MAX;
1190 }
1191
1192 /* increase length to add 2 bit sentinel after scan */
1193 total_ir_length += 2;
1194
1195 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1196 if (ir_test == NULL)
1197 return ERROR_FAIL;
1198
1199 /* after this scan, all TAPs will capture BYPASS instructions */
1200 buf_set_ones(ir_test, total_ir_length);
1201
1202 field.num_bits = total_ir_length;
1203 field.out_value = ir_test;
1204 field.in_value = ir_test;
1205
1206 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1207
1208 LOG_DEBUG("IR capture validation scan");
1209 retval = jtag_execute_queue();
1210 if (retval != ERROR_OK)
1211 goto done;
1212
1213 tap = NULL;
1214 chain_pos = 0;
1215
1216 for (;; ) {
1217 tap = jtag_tap_next_enabled(tap);
1218 if (tap == NULL)
1219 break;
1220
1221 /* If we're autoprobing, guess IR lengths. They must be at
1222 * least two bits. Guessing will fail if (a) any TAP does
1223 * not conform to the JTAG spec; or (b) when the upper bits
1224 * captured from some conforming TAP are nonzero. Or if
1225 * (c) an IR length is longer than 32 bits -- which is only
1226 * an implementation limit, which could someday be raised.
1227 *
1228 * REVISIT optimization: if there's a *single* TAP we can
1229 * lift restrictions (a) and (b) by scanning a recognizable
1230 * pattern before the all-ones BYPASS. Check for where the
1231 * pattern starts in the result, instead of an 0...01 value.
1232 *
1233 * REVISIT alternative approach: escape to some tcl code
1234 * which could provide more knowledge, based on IDCODE; and
1235 * only guess when that has no success.
1236 */
1237 if (tap->ir_length == 0) {
1238 tap->ir_length = 2;
1239 while ((val = buf_get_u64(ir_test, chain_pos, tap->ir_length + 1)) == 1
1240 && tap->ir_length <= 64) {
1241 tap->ir_length++;
1242 }
1243 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1244 jtag_tap_name(tap), tap->ir_length);
1245 }
1246
1247 /* Validate the two LSBs, which must be 01 per JTAG spec.
1248 *
1249 * Or ... more bits could be provided by TAP declaration.
1250 * Plus, some taps (notably in i.MX series chips) violate
1251 * this part of the JTAG spec, so their capture mask/value
1252 * attributes might disable this test.
1253 */
1254 val = buf_get_u64(ir_test, chain_pos, tap->ir_length);
1255 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1256 LOG_ERROR("%s: IR capture error; saw 0x%0*" PRIx64 " not 0x%0*" PRIx32,
1257 jtag_tap_name(tap),
1258 (tap->ir_length + 7) / tap->ir_length, val,
1259 (tap->ir_length + 7) / tap->ir_length, tap->ir_capture_value);
1260
1261 retval = ERROR_JTAG_INIT_FAILED;
1262 goto done;
1263 }
1264 LOG_DEBUG("%s: IR capture 0x%0*" PRIx64, jtag_tap_name(tap),
1265 (tap->ir_length + 7) / tap->ir_length, val);
1266 chain_pos += tap->ir_length;
1267 }
1268
1269 /* verify the '11' sentinel we wrote is returned at the end */
1270 val = buf_get_u64(ir_test, chain_pos, 2);
1271 if (val != 0x3) {
1272 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1273
1274 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1275 chain_pos, cbuf);
1276 free(cbuf);
1277 retval = ERROR_JTAG_INIT_FAILED;
1278 }
1279
1280 done:
1281 free(ir_test);
1282 if (retval != ERROR_OK) {
1283 jtag_add_tlr();
1284 jtag_execute_queue();
1285 }
1286 return retval;
1287 }
1288
1289 void jtag_tap_init(struct jtag_tap *tap)
1290 {
1291 unsigned ir_len_bits;
1292 unsigned ir_len_bytes;
1293
1294 /* if we're autoprobing, cope with potentially huge ir_length */
1295 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1296 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1297
1298 tap->expected = calloc(1, ir_len_bytes);
1299 tap->expected_mask = calloc(1, ir_len_bytes);
1300 tap->cur_instr = malloc(ir_len_bytes);
1301
1302 /** @todo cope better with ir_length bigger than 32 bits */
1303 if (ir_len_bits > 32)
1304 ir_len_bits = 32;
1305
1306 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1307 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1308
1309 /* TAP will be in bypass mode after jtag_validate_ircapture() */
1310 tap->bypass = 1;
1311 buf_set_ones(tap->cur_instr, tap->ir_length);
1312
1313 /* register the reset callback for the TAP */
1314 jtag_register_event_callback(&jtag_reset_callback, tap);
1315 jtag_tap_add(tap);
1316
1317 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1318 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1319 tap->abs_chain_position, tap->ir_length,
1320 (unsigned) tap->ir_capture_value,
1321 (unsigned) tap->ir_capture_mask);
1322 }
1323
1324 void jtag_tap_free(struct jtag_tap *tap)
1325 {
1326 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1327
1328 /** @todo is anything missing? no memory leaks please */
1329 free((void *)tap->expected);
1330 free((void *)tap->expected_ids);
1331 free((void *)tap->chip);
1332 free((void *)tap->tapname);
1333 free((void *)tap->dotted_name);
1334 free(tap);
1335 }
1336
1337 /**
1338 * Do low-level setup like initializing registers, output signals,
1339 * and clocking.
1340 */
1341 int adapter_init(struct command_context *cmd_ctx)
1342 {
1343 if (jtag)
1344 return ERROR_OK;
1345
1346 if (!jtag_interface) {
1347 /* nothing was previously specified by "interface" command */
1348 LOG_ERROR("Debug Adapter has to be specified, "
1349 "see \"interface\" command");
1350 return ERROR_JTAG_INVALID_INTERFACE;
1351 }
1352
1353 int retval;
1354 retval = jtag_interface->init();
1355 if (retval != ERROR_OK)
1356 return retval;
1357 jtag = jtag_interface;
1358
1359 /* LEGACY SUPPORT ... adapter drivers must declare what
1360 * transports they allow. Until they all do so, assume
1361 * the legacy drivers are JTAG-only
1362 */
1363 if (!transports_are_declared()) {
1364 LOG_ERROR("Adapter driver '%s' did not declare "
1365 "which transports it allows; assuming "
1366 "JTAG-only", jtag->name);
1367 retval = allow_transports(cmd_ctx, jtag_only);
1368 if (retval != ERROR_OK)
1369 return retval;
1370 }
1371
1372 if (jtag->speed == NULL) {
1373 LOG_INFO("This adapter doesn't support configurable speed");
1374 return ERROR_OK;
1375 }
1376
1377 if (CLOCK_MODE_UNSELECTED == clock_mode) {
1378 LOG_ERROR("An adapter speed is not selected in the init script."
1379 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1380 return ERROR_JTAG_INIT_FAILED;
1381 }
1382
1383 int requested_khz = jtag_get_speed_khz();
1384 int actual_khz = requested_khz;
1385 int jtag_speed_var = 0;
1386 retval = jtag_get_speed(&jtag_speed_var);
1387 if (retval != ERROR_OK)
1388 return retval;
1389 retval = jtag->speed(jtag_speed_var);
1390 if (retval != ERROR_OK)
1391 return retval;
1392 retval = jtag_get_speed_readable(&actual_khz);
1393 if (ERROR_OK != retval)
1394 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1395 else if (actual_khz) {
1396 /* Adaptive clocking -- JTAG-specific */
1397 if ((CLOCK_MODE_RCLK == clock_mode)
1398 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz)) {
1399 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1400 , actual_khz);
1401 } else
1402 LOG_INFO("clock speed %d kHz", actual_khz);
1403 } else
1404 LOG_INFO("RCLK (adaptive clock speed)");
1405
1406 return ERROR_OK;
1407 }
1408
1409 int jtag_init_inner(struct command_context *cmd_ctx)
1410 {
1411 struct jtag_tap *tap;
1412 int retval;
1413 bool issue_setup = true;
1414
1415 LOG_DEBUG("Init JTAG chain");
1416
1417 tap = jtag_tap_next_enabled(NULL);
1418 if (tap == NULL) {
1419 /* Once JTAG itself is properly set up, and the scan chain
1420 * isn't absurdly large, IDCODE autoprobe should work fine.
1421 *
1422 * But ... IRLEN autoprobe can fail even on systems which
1423 * are fully conformant to JTAG. Also, JTAG setup can be
1424 * quite finicky on some systems.
1425 *
1426 * REVISIT: if TAP autoprobe works OK, then in many cases
1427 * we could escape to tcl code and set up targets based on
1428 * the TAP's IDCODE values.
1429 */
1430 LOG_WARNING("There are no enabled taps. "
1431 "AUTO PROBING MIGHT NOT WORK!!");
1432
1433 /* REVISIT default clock will often be too fast ... */
1434 }
1435
1436 jtag_add_tlr();
1437 retval = jtag_execute_queue();
1438 if (retval != ERROR_OK)
1439 return retval;
1440
1441 /* Examine DR values first. This discovers problems which will
1442 * prevent communication ... hardware issues like TDO stuck, or
1443 * configuring the wrong number of (enabled) TAPs.
1444 */
1445 retval = jtag_examine_chain();
1446 switch (retval) {
1447 case ERROR_OK:
1448 /* complete success */
1449 break;
1450 default:
1451 /* For backward compatibility reasons, try coping with
1452 * configuration errors involving only ID mismatches.
1453 * We might be able to talk to the devices.
1454 *
1455 * Also the device might be powered down during startup.
1456 *
1457 * After OpenOCD starts, we can try to power on the device
1458 * and run a reset.
1459 */
1460 LOG_ERROR("Trying to use configured scan chain anyway...");
1461 issue_setup = false;
1462 break;
1463 }
1464
1465 /* Now look at IR values. Problems here will prevent real
1466 * communication. They mostly mean that the IR length is
1467 * wrong ... or that the IR capture value is wrong. (The
1468 * latter is uncommon, but easily worked around: provide
1469 * ircapture/irmask values during TAP setup.)
1470 */
1471 retval = jtag_validate_ircapture();
1472 if (retval != ERROR_OK) {
1473 /* The target might be powered down. The user
1474 * can power it up and reset it after firing
1475 * up OpenOCD.
1476 */
1477 issue_setup = false;
1478 }
1479
1480 if (issue_setup)
1481 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1482 else
1483 LOG_WARNING("Bypassing JTAG setup events due to errors");
1484
1485
1486 return ERROR_OK;
1487 }
1488
1489 int adapter_quit(void)
1490 {
1491 if (!jtag || !jtag->quit)
1492 return ERROR_OK;
1493
1494 /* close the JTAG interface */
1495 int result = jtag->quit();
1496 if (ERROR_OK != result)
1497 LOG_ERROR("failed: %d", result);
1498
1499 return ERROR_OK;
1500 }
1501
1502 int swd_init_reset(struct command_context *cmd_ctx)
1503 {
1504 int retval = adapter_init(cmd_ctx);
1505 if (retval != ERROR_OK)
1506 return retval;
1507
1508 LOG_DEBUG("Initializing with hard SRST reset");
1509
1510 if (jtag_reset_config & RESET_HAS_SRST)
1511 swd_add_reset(1);
1512 swd_add_reset(0);
1513 retval = jtag_execute_queue();
1514 return retval;
1515 }
1516
1517 int jtag_init_reset(struct command_context *cmd_ctx)
1518 {
1519 int retval = adapter_init(cmd_ctx);
1520 if (retval != ERROR_OK)
1521 return retval;
1522
1523 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1524
1525 /*
1526 * This procedure is used by default when OpenOCD triggers a reset.
1527 * It's now done through an overridable Tcl "init_reset" wrapper.
1528 *
1529 * This started out as a more powerful "get JTAG working" reset than
1530 * jtag_init_inner(), applying TRST because some chips won't activate
1531 * JTAG without a TRST cycle (presumed to be async, though some of
1532 * those chips synchronize JTAG activation using TCK).
1533 *
1534 * But some chips only activate JTAG as part of an SRST cycle; SRST
1535 * got mixed in. So it became a hard reset routine, which got used
1536 * in more places, and which coped with JTAG reset being forced as
1537 * part of SRST (srst_pulls_trst).
1538 *
1539 * And even more corner cases started to surface: TRST and/or SRST
1540 * assertion timings matter; some chips need other JTAG operations;
1541 * TRST/SRST sequences can need to be different from these, etc.
1542 *
1543 * Systems should override that wrapper to support system-specific
1544 * requirements that this not-fully-generic code doesn't handle.
1545 *
1546 * REVISIT once Tcl code can read the reset_config modes, this won't
1547 * need to be a C routine at all...
1548 */
1549 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1550 if (jtag_reset_config & RESET_HAS_SRST) {
1551 jtag_add_reset(1, 1);
1552 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1553 jtag_add_reset(0, 1);
1554 }
1555
1556 /* some targets enable us to connect with srst asserted */
1557 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1558 if (jtag_reset_config & RESET_SRST_NO_GATING)
1559 jtag_add_reset(0, 1);
1560 else {
1561 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1562 jtag_add_reset(0, 0);
1563 }
1564 } else
1565 jtag_add_reset(0, 0);
1566 retval = jtag_execute_queue();
1567 if (retval != ERROR_OK)
1568 return retval;
1569
1570 /* Check that we can communication on the JTAG chain + eventually we want to
1571 * be able to perform enumeration only after OpenOCD has started
1572 * telnet and GDB server
1573 *
1574 * That would allow users to more easily perform any magic they need to before
1575 * reset happens.
1576 */
1577 return jtag_init_inner(cmd_ctx);
1578 }
1579
1580 int jtag_init(struct command_context *cmd_ctx)
1581 {
1582 int retval = adapter_init(cmd_ctx);
1583 if (retval != ERROR_OK)
1584 return retval;
1585
1586 /* guard against oddball hardware: force resets to be inactive */
1587 jtag_add_reset(0, 0);
1588
1589 /* some targets enable us to connect with srst asserted */
1590 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1591 if (jtag_reset_config & RESET_SRST_NO_GATING)
1592 jtag_add_reset(0, 1);
1593 else
1594 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1595 }
1596 retval = jtag_execute_queue();
1597 if (retval != ERROR_OK)
1598 return retval;
1599
1600 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1601 return ERROR_FAIL;
1602
1603 return ERROR_OK;
1604 }
1605
1606 unsigned jtag_get_speed_khz(void)
1607 {
1608 return speed_khz;
1609 }
1610
1611 static int adapter_khz_to_speed(unsigned khz, int *speed)
1612 {
1613 LOG_DEBUG("convert khz to interface specific speed value");
1614 speed_khz = khz;
1615 if (jtag != NULL) {
1616 LOG_DEBUG("have interface set up");
1617 int speed_div1;
1618 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1619 if (ERROR_OK != retval)
1620 return retval;
1621 *speed = speed_div1;
1622 }
1623 return ERROR_OK;
1624 }
1625
1626 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int *speed)
1627 {
1628 int retval = adapter_khz_to_speed(0, speed);
1629 if ((ERROR_OK != retval) && fallback_speed_khz) {
1630 LOG_DEBUG("trying fallback speed...");
1631 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1632 }
1633 return retval;
1634 }
1635
1636 static int jtag_set_speed(int speed)
1637 {
1638 jtag_speed = speed;
1639 /* this command can be called during CONFIG,
1640 * in which case jtag isn't initialized */
1641 return jtag ? jtag->speed(speed) : ERROR_OK;
1642 }
1643
1644 int jtag_config_khz(unsigned khz)
1645 {
1646 LOG_DEBUG("handle jtag khz");
1647 clock_mode = CLOCK_MODE_KHZ;
1648 int speed = 0;
1649 int retval = adapter_khz_to_speed(khz, &speed);
1650 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1651 }
1652
1653 int jtag_config_rclk(unsigned fallback_speed_khz)
1654 {
1655 LOG_DEBUG("handle jtag rclk");
1656 clock_mode = CLOCK_MODE_RCLK;
1657 rclk_fallback_speed_khz = fallback_speed_khz;
1658 int speed = 0;
1659 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1660 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1661 }
1662
1663 int jtag_get_speed(int *speed)
1664 {
1665 switch (clock_mode) {
1666 case CLOCK_MODE_KHZ:
1667 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1668 break;
1669 case CLOCK_MODE_RCLK:
1670 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1671 break;
1672 default:
1673 LOG_ERROR("BUG: unknown jtag clock mode");
1674 return ERROR_FAIL;
1675 }
1676 return ERROR_OK;
1677 }
1678
1679 int jtag_get_speed_readable(int *khz)
1680 {
1681 int jtag_speed_var = 0;
1682 int retval = jtag_get_speed(&jtag_speed_var);
1683 if (retval != ERROR_OK)
1684 return retval;
1685 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1686 }
1687
1688 void jtag_set_verify(bool enable)
1689 {
1690 jtag_verify = enable;
1691 }
1692
1693 bool jtag_will_verify()
1694 {
1695 return jtag_verify;
1696 }
1697
1698 void jtag_set_verify_capture_ir(bool enable)
1699 {
1700 jtag_verify_capture_ir = enable;
1701 }
1702
1703 bool jtag_will_verify_capture_ir()
1704 {
1705 return jtag_verify_capture_ir;
1706 }
1707
1708 int jtag_power_dropout(int *dropout)
1709 {
1710 if (jtag == NULL) {
1711 /* TODO: as the jtag interface is not valid all
1712 * we can do at the moment is exit OpenOCD */
1713 LOG_ERROR("No Valid JTAG Interface Configured.");
1714 exit(-1);
1715 }
1716 return jtag->power_dropout(dropout);
1717 }
1718
1719 int jtag_srst_asserted(int *srst_asserted)
1720 {
1721 return jtag->srst_asserted(srst_asserted);
1722 }
1723
1724 enum reset_types jtag_get_reset_config(void)
1725 {
1726 return jtag_reset_config;
1727 }
1728 void jtag_set_reset_config(enum reset_types type)
1729 {
1730 jtag_reset_config = type;
1731 }
1732
1733 int jtag_get_trst(void)
1734 {
1735 return jtag_trst;
1736 }
1737 int jtag_get_srst(void)
1738 {
1739 return jtag_srst;
1740 }
1741
1742 void jtag_set_nsrst_delay(unsigned delay)
1743 {
1744 adapter_nsrst_delay = delay;
1745 }
1746 unsigned jtag_get_nsrst_delay(void)
1747 {
1748 return adapter_nsrst_delay;
1749 }
1750 void jtag_set_ntrst_delay(unsigned delay)
1751 {
1752 jtag_ntrst_delay = delay;
1753 }
1754 unsigned jtag_get_ntrst_delay(void)
1755 {
1756 return jtag_ntrst_delay;
1757 }
1758
1759
1760 void jtag_set_nsrst_assert_width(unsigned delay)
1761 {
1762 adapter_nsrst_assert_width = delay;
1763 }
1764 unsigned jtag_get_nsrst_assert_width(void)
1765 {
1766 return adapter_nsrst_assert_width;
1767 }
1768 void jtag_set_ntrst_assert_width(unsigned delay)
1769 {
1770 jtag_ntrst_assert_width = delay;
1771 }
1772 unsigned jtag_get_ntrst_assert_width(void)
1773 {
1774 return jtag_ntrst_assert_width;
1775 }
1776
1777 static int jtag_select(struct command_context *ctx)
1778 {
1779 int retval;
1780
1781 /* NOTE: interface init must already have been done.
1782 * That works with only C code ... no Tcl glue required.
1783 */
1784
1785 retval = jtag_register_commands(ctx);
1786
1787 if (retval != ERROR_OK)
1788 return retval;
1789
1790 retval = svf_register_commands(ctx);
1791
1792 if (retval != ERROR_OK)
1793 return retval;
1794
1795 return xsvf_register_commands(ctx);
1796 }
1797
1798 static struct transport jtag_transport = {
1799 .name = "jtag",
1800 .select = jtag_select,
1801 .init = jtag_init,
1802 };
1803
1804 static void jtag_constructor(void) __attribute__((constructor));
1805 static void jtag_constructor(void)
1806 {
1807 transport_register(&jtag_transport);
1808 }
1809
1810 /** Returns true if the current debug session
1811 * is using JTAG as its transport.
1812 */
1813 bool transport_is_jtag(void)
1814 {
1815 return get_current_transport() == &jtag_transport;
1816 }
1817
1818 void adapter_assert_reset(void)
1819 {
1820 if (transport_is_jtag()) {
1821 if (jtag_reset_config & RESET_SRST_PULLS_TRST)
1822 jtag_add_reset(1, 1);
1823 else
1824 jtag_add_reset(0, 1);
1825 } else if (transport_is_swd())
1826 swd_add_reset(1);
1827 else if (get_current_transport() != NULL)
1828 LOG_ERROR("reset is not supported on %s",
1829 get_current_transport()->name);
1830 else
1831 LOG_ERROR("transport is not selected");
1832 }
1833
1834 void adapter_deassert_reset(void)
1835 {
1836 if (transport_is_jtag())
1837 jtag_add_reset(0, 0);
1838 else if (transport_is_swd())
1839 swd_add_reset(0);
1840 else if (get_current_transport() != NULL)
1841 LOG_ERROR("reset is not supported on %s",
1842 get_current_transport()->name);
1843 else
1844 LOG_ERROR("transport is not selected");
1845 }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)