retire ERROR_INVALID_ARGUMENTS and replace with ERROR_COMMAND_SYNTAX_ERROR
[openocd.git] / src / jtag / core.c
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
33
34 #include "jtag.h"
35 #include "interface.h"
36 #include <transport/transport.h>
37
38 #ifdef HAVE_STRINGS_H
39 #include <strings.h>
40 #endif
41
42 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
43 #include "svf/svf.h"
44 #include "xsvf/xsvf.h"
45
46 /// The number of JTAG queue flushes (for profiling and debugging purposes).
47 static int jtag_flush_queue_count;
48
49 // Sleep this # of ms after flushing the queue
50 static int jtag_flush_queue_sleep = 0;
51
52 static void jtag_add_scan_check(struct jtag_tap *active,
53 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
54 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
55
56 /**
57 * The jtag_error variable is set when an error occurs while executing
58 * the queue. Application code may set this using jtag_set_error(),
59 * when an error occurs during processing that should be reported during
60 * jtag_execute_queue().
61 *
62 * The value is set and cleared, but never read by normal application code.
63 *
64 * This value is returned (and cleared) by jtag_execute_queue().
65 */
66 static int jtag_error = ERROR_OK;
67
68 static const char *jtag_event_strings[] =
69 {
70 [JTAG_TRST_ASSERTED] = "TAP reset",
71 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
72 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
73 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
74 };
75
76 /*
77 * JTAG adapters must initialize with TRST and SRST de-asserted
78 * (they're negative logic, so that means *high*). But some
79 * hardware doesn't necessarily work that way ... so set things
80 * up so that jtag_init() always forces that state.
81 */
82 static int jtag_trst = -1;
83 static int jtag_srst = -1;
84
85 /**
86 * List all TAPs that have been created.
87 */
88 static struct jtag_tap *__jtag_all_taps = NULL;
89 /**
90 * The number of TAPs in the __jtag_all_taps list, used to track the
91 * assigned chain position to new TAPs
92 */
93 static unsigned jtag_num_taps = 0;
94
95 static enum reset_types jtag_reset_config = RESET_NONE;
96 tap_state_t cmd_queue_cur_state = TAP_RESET;
97
98 static bool jtag_verify_capture_ir = true;
99 static int jtag_verify = 1;
100
101 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
102 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
103 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
104 static int adapter_nsrst_assert_width = 0; /* width of assertion */
105 static int jtag_ntrst_assert_width = 0; /* width of assertion */
106
107 /**
108 * Contains a single callback along with a pointer that will be passed
109 * when an event occurs.
110 */
111 struct jtag_event_callback {
112 /// a event callback
113 jtag_event_handler_t callback;
114 /// the private data to pass to the callback
115 void* priv;
116 /// the next callback
117 struct jtag_event_callback* next;
118 };
119
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
122
123 /* speed in kHz*/
124 static int speed_khz = 0;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz = 0;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed = 0;
129
130 static struct jtag_interface *jtag = NULL;
131
132
133 const struct swd_driver *swd = NULL;
134
135 /* configuration */
136 struct jtag_interface *jtag_interface = NULL;
137
138 void jtag_set_flush_queue_sleep(int ms)
139 {
140 jtag_flush_queue_sleep = ms;
141 }
142
143 void jtag_set_error(int error)
144 {
145 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
146 return;
147 jtag_error = error;
148 }
149
150 int jtag_error_clear(void)
151 {
152 int temp = jtag_error;
153 jtag_error = ERROR_OK;
154 return temp;
155 }
156
157 /************/
158
159 static bool jtag_poll = 1;
160
161 bool is_jtag_poll_safe(void)
162 {
163 /* Polling can be disabled explicitly with set_enabled(false).
164 * It is also implicitly disabled while TRST is active and
165 * while SRST is gating the JTAG clock.
166 */
167 if (!jtag_poll || jtag_trst != 0)
168 return false;
169 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
170 }
171
172 bool jtag_poll_get_enabled(void)
173 {
174 return jtag_poll;
175 }
176
177 void jtag_poll_set_enabled(bool value)
178 {
179 jtag_poll = value;
180 }
181
182 /************/
183
184 struct jtag_tap *jtag_all_taps(void)
185 {
186 return __jtag_all_taps;
187 };
188
189 unsigned jtag_tap_count(void)
190 {
191 return jtag_num_taps;
192 }
193
194 unsigned jtag_tap_count_enabled(void)
195 {
196 struct jtag_tap *t = jtag_all_taps();
197 unsigned n = 0;
198 while (t)
199 {
200 if (t->enabled)
201 n++;
202 t = t->next_tap;
203 }
204 return n;
205 }
206
207 /// Append a new TAP to the chain of all taps.
208 void jtag_tap_add(struct jtag_tap *t)
209 {
210 t->abs_chain_position = jtag_num_taps++;
211
212 struct jtag_tap **tap = &__jtag_all_taps;
213 while (*tap != NULL)
214 tap = &(*tap)->next_tap;
215 *tap = t;
216 }
217
218 /* returns a pointer to the n-th device in the scan chain */
219 struct jtag_tap *jtag_tap_by_position(unsigned n)
220 {
221 struct jtag_tap *t = jtag_all_taps();
222
223 while (t && n-- > 0)
224 t = t->next_tap;
225
226 return t;
227 }
228
229 struct jtag_tap *jtag_tap_by_string(const char *s)
230 {
231 /* try by name first */
232 struct jtag_tap *t = jtag_all_taps();
233
234 while (t)
235 {
236 if (0 == strcmp(t->dotted_name, s))
237 return t;
238 t = t->next_tap;
239 }
240
241 /* no tap found by name, so try to parse the name as a number */
242 unsigned n;
243 if (parse_uint(s, &n) != ERROR_OK)
244 return NULL;
245
246 /* FIXME remove this numeric fallback code late June 2010, along
247 * with all info in the User's Guide that TAPs have numeric IDs.
248 * Also update "scan_chain" output to not display the numbers.
249 */
250 t = jtag_tap_by_position(n);
251 if (t)
252 LOG_WARNING("Specify TAP '%s' by name, not number %u",
253 t->dotted_name, n);
254
255 return t;
256 }
257
258 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
259 {
260 p = p ? p->next_tap : jtag_all_taps();
261 while (p)
262 {
263 if (p->enabled)
264 return p;
265 p = p->next_tap;
266 }
267 return NULL;
268 }
269
270 const char *jtag_tap_name(const struct jtag_tap *tap)
271 {
272 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
273 }
274
275
276 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
277 {
278 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
279
280 if (callback == NULL)
281 {
282 return ERROR_COMMAND_SYNTAX_ERROR;
283 }
284
285 if (*callbacks_p)
286 {
287 while ((*callbacks_p)->next)
288 callbacks_p = &((*callbacks_p)->next);
289 callbacks_p = &((*callbacks_p)->next);
290 }
291
292 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
293 (*callbacks_p)->callback = callback;
294 (*callbacks_p)->priv = priv;
295 (*callbacks_p)->next = NULL;
296
297 return ERROR_OK;
298 }
299
300 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
301 {
302 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
303
304 if (callback == NULL)
305 {
306 return ERROR_COMMAND_SYNTAX_ERROR;
307 }
308
309 while (*p)
310 {
311 if (((*p)->priv != priv) || ((*p)->callback != callback))
312 {
313 p = &(*p)->next;
314 continue;
315 }
316
317 temp = *p;
318 *p = (*p)->next;
319 free(temp);
320 }
321
322 return ERROR_OK;
323 }
324
325 int jtag_call_event_callbacks(enum jtag_event event)
326 {
327 struct jtag_event_callback *callback = jtag_event_callbacks;
328
329 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
330
331 while (callback)
332 {
333 struct jtag_event_callback *next;
334
335 /* callback may remove itself */
336 next = callback->next;
337 callback->callback(event, callback->priv);
338 callback = next;
339 }
340
341 return ERROR_OK;
342 }
343
344 static void jtag_checks(void)
345 {
346 assert(jtag_trst == 0);
347 }
348
349 static void jtag_prelude(tap_state_t state)
350 {
351 jtag_checks();
352
353 assert(state != TAP_INVALID);
354
355 cmd_queue_cur_state = state;
356 }
357
358 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
359 tap_state_t state)
360 {
361 jtag_prelude(state);
362
363 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
364 jtag_set_error(retval);
365 }
366
367 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
368 tap_state_t state)
369 {
370 jtag_add_ir_scan_noverify(active, in_fields, state);
371 }
372
373 /* If fields->in_value is filled out, then the captured IR value will be checked */
374 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
375 {
376 assert(state != TAP_RESET);
377
378 if (jtag_verify && jtag_verify_capture_ir)
379 {
380 /* 8 x 32 bit id's is enough for all invocations */
381
382 /* if we are to run a verification of the ir scan, we need to get the input back.
383 * We may have to allocate space if the caller didn't ask for the input back.
384 */
385 in_fields->check_value = active->expected;
386 in_fields->check_mask = active->expected_mask;
387 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
388 } else
389 {
390 jtag_add_ir_scan_noverify(active, in_fields, state);
391 }
392 }
393
394 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
395 tap_state_t state)
396 {
397 assert(out_bits != NULL);
398 assert(state != TAP_RESET);
399
400 jtag_prelude(state);
401
402 int retval = interface_jtag_add_plain_ir_scan(
403 num_bits, out_bits, in_bits, state);
404 jtag_set_error(retval);
405 }
406
407 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
408 uint8_t *in_check_mask, int num_bits);
409
410 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
411 {
412 return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
413 }
414
415 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
416 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
417 {
418 jtag_add_scan(active, in_num_fields, in_fields, state);
419
420 for (int i = 0; i < in_num_fields; i++)
421 {
422 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
423 {
424 /* this is synchronous for a minidriver */
425 jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
426 (jtag_callback_data_t)in_fields[i].check_value,
427 (jtag_callback_data_t)in_fields[i].check_mask,
428 (jtag_callback_data_t)in_fields[i].num_bits);
429 }
430 }
431 }
432
433 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
434 {
435 if (jtag_verify)
436 {
437 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
438 } else
439 {
440 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
441 }
442 }
443
444
445 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
446 tap_state_t state)
447 {
448 assert(state != TAP_RESET);
449
450 jtag_prelude(state);
451
452 int retval;
453 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
454 jtag_set_error(retval);
455 }
456
457 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
458 tap_state_t state)
459 {
460 assert(out_bits != NULL);
461 assert(state != TAP_RESET);
462
463 jtag_prelude(state);
464
465 int retval;
466 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
467 jtag_set_error(retval);
468 }
469
470 void jtag_add_tlr(void)
471 {
472 jtag_prelude(TAP_RESET);
473 jtag_set_error(interface_jtag_add_tlr());
474
475 /* NOTE: order here matches TRST path in jtag_add_reset() */
476 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
477 jtag_notify_event(JTAG_TRST_ASSERTED);
478 }
479
480 /**
481 * If supported by the underlying adapter, this clocks a raw bit sequence
482 * onto TMS for switching betwen JTAG and SWD modes.
483 *
484 * DO NOT use this to bypass the integrity checks and logging provided
485 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
486 *
487 * @param nbits How many bits to clock out.
488 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
489 * @param state The JTAG tap state to record on completion. Use
490 * TAP_INVALID to represent being in in SWD mode.
491 *
492 * @todo Update naming conventions to stop assuming everything is JTAG.
493 */
494 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
495 {
496 int retval;
497
498 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
499 return ERROR_JTAG_NOT_IMPLEMENTED;
500
501 jtag_checks();
502 cmd_queue_cur_state = state;
503
504 retval = interface_add_tms_seq(nbits, seq, state);
505 jtag_set_error(retval);
506 return retval;
507 }
508
509 void jtag_add_pathmove(int num_states, const tap_state_t *path)
510 {
511 tap_state_t cur_state = cmd_queue_cur_state;
512
513 /* the last state has to be a stable state */
514 if (!tap_is_state_stable(path[num_states - 1]))
515 {
516 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
517 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
518 return;
519 }
520
521 for (int i = 0; i < num_states; i++)
522 {
523 if (path[i] == TAP_RESET)
524 {
525 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
526 jtag_set_error(ERROR_JTAG_STATE_INVALID);
527 return;
528 }
529
530 if (tap_state_transition(cur_state, true) != path[i]
531 && tap_state_transition(cur_state, false) != path[i])
532 {
533 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
534 tap_state_name(cur_state), tap_state_name(path[i]));
535 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
536 return;
537 }
538 cur_state = path[i];
539 }
540
541 jtag_checks();
542
543 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
544 cmd_queue_cur_state = path[num_states - 1];
545 }
546
547 int jtag_add_statemove(tap_state_t goal_state)
548 {
549 tap_state_t cur_state = cmd_queue_cur_state;
550
551 if (goal_state != cur_state)
552 {
553 LOG_DEBUG("cur_state=%s goal_state=%s",
554 tap_state_name(cur_state),
555 tap_state_name(goal_state));
556 }
557
558 /* If goal is RESET, be paranoid and force that that transition
559 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
560 */
561 if (goal_state == TAP_RESET)
562 jtag_add_tlr();
563 else if (goal_state == cur_state)
564 /* nothing to do */ ;
565
566 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
567 {
568 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
569 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
570 tap_state_t moves[8];
571 assert(tms_count < ARRAY_SIZE(moves));
572
573 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
574 {
575 bool bit = tms_bits & 1;
576
577 cur_state = tap_state_transition(cur_state, bit);
578 moves[i] = cur_state;
579 }
580
581 jtag_add_pathmove(tms_count, moves);
582 }
583 else if (tap_state_transition(cur_state, true) == goal_state
584 || tap_state_transition(cur_state, false) == goal_state)
585 {
586 jtag_add_pathmove(1, &goal_state);
587 }
588
589 else
590 return ERROR_FAIL;
591
592 return ERROR_OK;
593 }
594
595 void jtag_add_runtest(int num_cycles, tap_state_t state)
596 {
597 jtag_prelude(state);
598 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
599 }
600
601
602 void jtag_add_clocks(int num_cycles)
603 {
604 if (!tap_is_state_stable(cmd_queue_cur_state))
605 {
606 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
607 tap_state_name(cmd_queue_cur_state));
608 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
609 return;
610 }
611
612 if (num_cycles > 0)
613 {
614 jtag_checks();
615 jtag_set_error(interface_jtag_add_clocks(num_cycles));
616 }
617 }
618
619 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
620 {
621 int trst_with_tlr = 0;
622 int new_srst = 0;
623 int new_trst = 0;
624
625 /* Without SRST, we must use target-specific JTAG operations
626 * on each target; callers should not be requesting SRST when
627 * that signal doesn't exist.
628 *
629 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
630 * can kick in even if the JTAG adapter can't drive TRST.
631 */
632 if (req_srst) {
633 if (!(jtag_reset_config & RESET_HAS_SRST)) {
634 LOG_ERROR("BUG: can't assert SRST");
635 jtag_set_error(ERROR_FAIL);
636 return;
637 }
638 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
639 && !req_tlr_or_trst) {
640 LOG_ERROR("BUG: can't assert only SRST");
641 jtag_set_error(ERROR_FAIL);
642 return;
643 }
644 new_srst = 1;
645 }
646
647 /* JTAG reset (entry to TAP_RESET state) can always be achieved
648 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
649 * state first. TRST accelerates it, and bypasses those states.
650 *
651 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
652 * can kick in even if the JTAG adapter can't drive SRST.
653 */
654 if (req_tlr_or_trst) {
655 if (!(jtag_reset_config & RESET_HAS_TRST))
656 trst_with_tlr = 1;
657 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
658 && !req_srst)
659 trst_with_tlr = 1;
660 else
661 new_trst = 1;
662 }
663
664 /* Maybe change TRST and/or SRST signal state */
665 if (jtag_srst != new_srst || jtag_trst != new_trst) {
666 int retval;
667
668 retval = interface_jtag_add_reset(new_trst, new_srst);
669 if (retval != ERROR_OK)
670 jtag_set_error(retval);
671 else
672 retval = jtag_execute_queue();
673
674 if (retval != ERROR_OK) {
675 LOG_ERROR("TRST/SRST error");
676 return;
677 }
678 }
679
680 /* SRST resets everything hooked up to that signal */
681 if (jtag_srst != new_srst) {
682 jtag_srst = new_srst;
683 if (jtag_srst)
684 {
685 LOG_DEBUG("SRST line asserted");
686 if (adapter_nsrst_assert_width)
687 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
688 }
689 else {
690 LOG_DEBUG("SRST line released");
691 if (adapter_nsrst_delay)
692 jtag_add_sleep(adapter_nsrst_delay * 1000);
693 }
694 }
695
696 /* Maybe enter the JTAG TAP_RESET state ...
697 * - using only TMS, TCK, and the JTAG state machine
698 * - or else more directly, using TRST
699 *
700 * TAP_RESET should be invisible to non-debug parts of the system.
701 */
702 if (trst_with_tlr) {
703 LOG_DEBUG("JTAG reset with TLR instead of TRST");
704 jtag_add_tlr();
705
706 } else if (jtag_trst != new_trst) {
707 jtag_trst = new_trst;
708 if (jtag_trst) {
709 LOG_DEBUG("TRST line asserted");
710 tap_set_state(TAP_RESET);
711 if (jtag_ntrst_assert_width)
712 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
713 } else {
714 LOG_DEBUG("TRST line released");
715 if (jtag_ntrst_delay)
716 jtag_add_sleep(jtag_ntrst_delay * 1000);
717
718 /* We just asserted nTRST, so we're now in TAP_RESET.
719 * Inform possible listeners about this, now that
720 * JTAG instructions and data can be shifted. This
721 * sequence must match jtag_add_tlr().
722 */
723 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
724 jtag_notify_event(JTAG_TRST_ASSERTED);
725 }
726 }
727 }
728
729 void jtag_add_sleep(uint32_t us)
730 {
731 /// @todo Here, keep_alive() appears to be a layering violation!!!
732 keep_alive();
733 jtag_set_error(interface_jtag_add_sleep(us));
734 }
735
736 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
737 uint8_t *in_check_mask, int num_bits)
738 {
739 int retval = ERROR_OK;
740 int compare_failed;
741
742 if (in_check_mask)
743 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
744 else
745 compare_failed = buf_cmp(captured, in_check_value, num_bits);
746
747 if (compare_failed) {
748 char *captured_str, *in_check_value_str;
749 int bits = (num_bits > DEBUG_JTAG_IOZ)
750 ? DEBUG_JTAG_IOZ
751 : num_bits;
752
753 /* NOTE: we've lost diagnostic context here -- 'which tap' */
754
755 captured_str = buf_to_str(captured, bits, 16);
756 in_check_value_str = buf_to_str(in_check_value, bits, 16);
757
758 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
759 captured_str);
760 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
761
762 free(captured_str);
763 free(in_check_value_str);
764
765 if (in_check_mask) {
766 char *in_check_mask_str;
767
768 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
769 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
770 free(in_check_mask_str);
771 }
772
773 retval = ERROR_JTAG_QUEUE_FAILED;
774 }
775 return retval;
776 }
777
778 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
779 {
780 assert(field->in_value != NULL);
781
782 if (value == NULL)
783 {
784 /* no checking to do */
785 return;
786 }
787
788 jtag_execute_queue_noclear();
789
790 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
791 jtag_set_error(retval);
792 }
793
794
795
796 int default_interface_jtag_execute_queue(void)
797 {
798 if (NULL == jtag)
799 {
800 LOG_ERROR("No JTAG interface configured yet. "
801 "Issue 'init' command in startup scripts "
802 "before communicating with targets.");
803 return ERROR_FAIL;
804 }
805
806 return jtag->execute_queue();
807 }
808
809 void jtag_execute_queue_noclear(void)
810 {
811 jtag_flush_queue_count++;
812 jtag_set_error(interface_jtag_execute_queue());
813
814 if (jtag_flush_queue_sleep > 0)
815 {
816 /* For debug purposes it can be useful to test performance
817 * or behavior when delaying after flushing the queue,
818 * e.g. to simulate long roundtrip times.
819 */
820 usleep(jtag_flush_queue_sleep * 1000);
821 }
822 }
823
824 int jtag_get_flush_queue_count(void)
825 {
826 return jtag_flush_queue_count;
827 }
828
829 int jtag_execute_queue(void)
830 {
831 jtag_execute_queue_noclear();
832 return jtag_error_clear();
833 }
834
835 static int jtag_reset_callback(enum jtag_event event, void *priv)
836 {
837 struct jtag_tap *tap = priv;
838
839 if (event == JTAG_TRST_ASSERTED)
840 {
841 tap->enabled = !tap->disabled_after_reset;
842
843 /* current instruction is either BYPASS or IDCODE */
844 buf_set_ones(tap->cur_instr, tap->ir_length);
845 tap->bypass = 1;
846 }
847
848 return ERROR_OK;
849 }
850
851 /* sleep at least us microseconds. When we sleep more than 1000ms we
852 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
853 * GDB if we slept for <1000ms many times.
854 */
855 void jtag_sleep(uint32_t us)
856 {
857 if (us < 1000)
858 usleep(us);
859 else
860 alive_sleep((us+999)/1000);
861 }
862
863 /* Maximum number of enabled JTAG devices we expect in the scan chain,
864 * plus one (to detect garbage at the end). Devices that don't support
865 * IDCODE take up fewer bits, possibly allowing a few more devices.
866 */
867 #define JTAG_MAX_CHAIN_SIZE 20
868
869 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
870 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
871 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
872
873 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
874 * know that no valid TAP will have it as an IDCODE value.
875 */
876 #define END_OF_CHAIN_FLAG 0x000000ff
877
878 /* a larger IR length than we ever expect to autoprobe */
879 #define JTAG_IRLEN_MAX 60
880
881 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
882 {
883 struct scan_field field = {
884 .num_bits = num_idcode * 32,
885 .out_value = idcode_buffer,
886 .in_value = idcode_buffer,
887 };
888
889 // initialize to the end of chain ID value
890 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
891 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
892
893 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
894 jtag_add_tlr();
895 return jtag_execute_queue();
896 }
897
898 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
899 {
900 uint8_t zero_check = 0x0;
901 uint8_t one_check = 0xff;
902
903 for (unsigned i = 0; i < count * 4; i++)
904 {
905 zero_check |= idcodes[i];
906 one_check &= idcodes[i];
907 }
908
909 /* if there wasn't a single non-zero bit or if all bits were one,
910 * the scan is not valid. We wrote a mix of both values; either
911 *
912 * - There's a hardware issue (almost certainly):
913 * + all-zeroes can mean a target stuck in JTAG reset
914 * + all-ones tends to mean no target
915 * - The scan chain is WAY longer than we can handle, *AND* either
916 * + there are several hundreds of TAPs in bypass, or
917 * + at least a few dozen TAPs all have an all-ones IDCODE
918 */
919 if (zero_check == 0x00 || one_check == 0xff)
920 {
921 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
922 (zero_check == 0x00) ? "zeroes" : "ones");
923 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
924 return false;
925 }
926 return true;
927 }
928
929 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
930 const char *name, uint32_t idcode)
931 {
932 log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
933 "JTAG tap: %s %16.16s: 0x%08x "
934 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
935 name, msg,
936 (unsigned int)idcode,
937 (unsigned int)EXTRACT_MFG(idcode),
938 (unsigned int)EXTRACT_PART(idcode),
939 (unsigned int)EXTRACT_VER(idcode));
940 }
941
942 static bool jtag_idcode_is_final(uint32_t idcode)
943 {
944 /*
945 * Some devices, such as AVR8, will output all 1's instead
946 * of TDI input value at end of chain. Allow those values
947 * instead of failing.
948 */
949 return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
950 }
951
952 /**
953 * This helper checks that remaining bits in the examined chain data are
954 * all as expected, but a single JTAG device requires only 64 bits to be
955 * read back correctly. This can help identify and diagnose problems
956 * with the JTAG chain earlier, gives more helpful/explicit error messages.
957 * Returns TRUE iff garbage was found.
958 */
959 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
960 {
961 bool triggered = false;
962 for (; count < max - 31; count += 32)
963 {
964 uint32_t idcode = buf_get_u32(idcodes, count, 32);
965
966 /* do not trigger the warning if the data looks good */
967 if (jtag_idcode_is_final(idcode))
968 continue;
969 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
970 count, (unsigned int)idcode);
971 triggered = true;
972 }
973 return triggered;
974 }
975
976 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
977 {
978 uint32_t idcode = tap->idcode;
979
980 /* ignore expected BYPASS codes; warn otherwise */
981 if (0 == tap->expected_ids_cnt && !idcode)
982 return true;
983
984 /* optionally ignore the JTAG version field */
985 uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
986
987 idcode &= mask;
988
989 /* Loop over the expected identification codes and test for a match */
990 unsigned ii, limit = tap->expected_ids_cnt;
991
992 for (ii = 0; ii < limit; ii++)
993 {
994 uint32_t expected = tap->expected_ids[ii] & mask;
995
996 if (idcode == expected)
997 return true;
998
999 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1000 if (0 == tap->expected_ids[ii])
1001 return true;
1002 }
1003
1004 /* If none of the expected ids matched, warn */
1005 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1006 tap->dotted_name, tap->idcode);
1007 for (ii = 0; ii < limit; ii++)
1008 {
1009 char msg[32];
1010
1011 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1012 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1013 tap->dotted_name, tap->expected_ids[ii]);
1014 }
1015 return false;
1016 }
1017
1018 /* Try to examine chain layout according to IEEE 1149.1 §12
1019 * This is called a "blind interrogation" of the scan chain.
1020 */
1021 static int jtag_examine_chain(void)
1022 {
1023 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1024 unsigned bit_count;
1025 int retval;
1026 int tapcount = 0;
1027 bool autoprobe = false;
1028
1029 /* DR scan to collect BYPASS or IDCODE register contents.
1030 * Then make sure the scan data has both ones and zeroes.
1031 */
1032 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1033 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1034 if (retval != ERROR_OK)
1035 return retval;
1036 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1037 return ERROR_JTAG_INIT_FAILED;
1038
1039 /* point at the 1st tap */
1040 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1041
1042 if (!tap)
1043 autoprobe = true;
1044
1045 for (bit_count = 0;
1046 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1047 tap = jtag_tap_next_enabled(tap))
1048 {
1049 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1050
1051 if ((idcode & 1) == 0)
1052 {
1053 /* Zero for LSB indicates a device in bypass */
1054 LOG_INFO("TAP %s does not have IDCODE",
1055 tap->dotted_name);
1056 idcode = 0;
1057 tap->hasidcode = false;
1058
1059 bit_count += 1;
1060 }
1061 else
1062 {
1063 /* Friendly devices support IDCODE */
1064 tap->hasidcode = true;
1065 jtag_examine_chain_display(LOG_LVL_INFO,
1066 "tap/device found",
1067 tap->dotted_name, idcode);
1068
1069 bit_count += 32;
1070 }
1071 tap->idcode = idcode;
1072
1073 /* ensure the TAP ID matches what was expected */
1074 if (!jtag_examine_chain_match_tap(tap))
1075 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1076 }
1077
1078 /* Fail if too many TAPs were enabled for us to verify them all. */
1079 if (tap) {
1080 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1081 tap->dotted_name);
1082 return ERROR_JTAG_INIT_FAILED;
1083 }
1084
1085 /* if autoprobing, the tap list is still empty ... populate it! */
1086 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1087 uint32_t idcode;
1088 char buf[12];
1089
1090 /* Is there another TAP? */
1091 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1092 if (jtag_idcode_is_final(idcode))
1093 break;
1094
1095 /* Default everything in this TAP except IR length.
1096 *
1097 * REVISIT create a jtag_alloc(chip, tap) routine, and
1098 * share it with jim_newtap_cmd().
1099 */
1100 tap = calloc(1, sizeof *tap);
1101 if (!tap)
1102 return ERROR_FAIL;
1103
1104 sprintf(buf, "auto%d", tapcount++);
1105 tap->chip = strdup(buf);
1106 tap->tapname = strdup("tap");
1107
1108 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1109 tap->dotted_name = strdup(buf);
1110
1111 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1112 tap->ir_capture_mask = 0x03;
1113 tap->ir_capture_value = 0x01;
1114
1115 tap->enabled = true;
1116
1117 if ((idcode & 1) == 0) {
1118 bit_count += 1;
1119 tap->hasidcode = false;
1120 } else {
1121 bit_count += 32;
1122 tap->hasidcode = true;
1123 tap->idcode = idcode;
1124
1125 tap->expected_ids_cnt = 1;
1126 tap->expected_ids = malloc(sizeof(uint32_t));
1127 tap->expected_ids[0] = idcode;
1128 }
1129
1130 LOG_WARNING("AUTO %s - use \"jtag newtap "
1131 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1132 tap->dotted_name, tap->chip, tap->tapname,
1133 tap->idcode);
1134
1135 jtag_tap_init(tap);
1136 }
1137
1138 /* After those IDCODE or BYPASS register values should be
1139 * only the data we fed into the scan chain.
1140 */
1141 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1142 8 * sizeof(idcode_buffer))) {
1143 LOG_ERROR("double-check your JTAG setup (interface, "
1144 "speed, missing TAPs, ...)");
1145 return ERROR_JTAG_INIT_FAILED;
1146 }
1147
1148 /* Return success or, for backwards compatibility if only
1149 * some IDCODE values mismatched, a soft/continuable fault.
1150 */
1151 return retval;
1152 }
1153
1154 /*
1155 * Validate the date loaded by entry to the Capture-IR state, to help
1156 * find errors related to scan chain configuration (wrong IR lengths)
1157 * or communication.
1158 *
1159 * Entry state can be anything. On non-error exit, all TAPs are in
1160 * bypass mode. On error exits, the scan chain is reset.
1161 */
1162 static int jtag_validate_ircapture(void)
1163 {
1164 struct jtag_tap *tap;
1165 int total_ir_length = 0;
1166 uint8_t *ir_test = NULL;
1167 struct scan_field field;
1168 int val;
1169 int chain_pos = 0;
1170 int retval;
1171
1172 /* when autoprobing, accomodate huge IR lengths */
1173 for (tap = NULL, total_ir_length = 0;
1174 (tap = jtag_tap_next_enabled(tap)) != NULL;
1175 total_ir_length += tap->ir_length) {
1176 if (tap->ir_length == 0)
1177 total_ir_length += JTAG_IRLEN_MAX;
1178 }
1179
1180 /* increase length to add 2 bit sentinel after scan */
1181 total_ir_length += 2;
1182
1183 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1184 if (ir_test == NULL)
1185 return ERROR_FAIL;
1186
1187 /* after this scan, all TAPs will capture BYPASS instructions */
1188 buf_set_ones(ir_test, total_ir_length);
1189
1190 field.num_bits = total_ir_length;
1191 field.out_value = ir_test;
1192 field.in_value = ir_test;
1193
1194 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1195
1196 LOG_DEBUG("IR capture validation scan");
1197 retval = jtag_execute_queue();
1198 if (retval != ERROR_OK)
1199 goto done;
1200
1201 tap = NULL;
1202 chain_pos = 0;
1203
1204 for (;;) {
1205 tap = jtag_tap_next_enabled(tap);
1206 if (tap == NULL) {
1207 break;
1208 }
1209
1210 /* If we're autoprobing, guess IR lengths. They must be at
1211 * least two bits. Guessing will fail if (a) any TAP does
1212 * not conform to the JTAG spec; or (b) when the upper bits
1213 * captured from some conforming TAP are nonzero. Or if
1214 * (c) an IR length is longer than 32 bits -- which is only
1215 * an implementation limit, which could someday be raised.
1216 *
1217 * REVISIT optimization: if there's a *single* TAP we can
1218 * lift restrictions (a) and (b) by scanning a recognizable
1219 * pattern before the all-ones BYPASS. Check for where the
1220 * pattern starts in the result, instead of an 0...01 value.
1221 *
1222 * REVISIT alternative approach: escape to some tcl code
1223 * which could provide more knowledge, based on IDCODE; and
1224 * only guess when that has no success.
1225 */
1226 if (tap->ir_length == 0) {
1227 tap->ir_length = 2;
1228 while ((val = buf_get_u32(ir_test, chain_pos,
1229 tap->ir_length + 1)) == 1
1230 && tap->ir_length <= 32) {
1231 tap->ir_length++;
1232 }
1233 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1234 jtag_tap_name(tap), tap->ir_length);
1235 }
1236
1237 /* Validate the two LSBs, which must be 01 per JTAG spec.
1238 *
1239 * Or ... more bits could be provided by TAP declaration.
1240 * Plus, some taps (notably in i.MX series chips) violate
1241 * this part of the JTAG spec, so their capture mask/value
1242 * attributes might disable this test.
1243 */
1244 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1245 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1246 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1247 jtag_tap_name(tap),
1248 (tap->ir_length + 7) / tap->ir_length,
1249 val,
1250 (tap->ir_length + 7) / tap->ir_length,
1251 (unsigned) tap->ir_capture_value);
1252
1253 retval = ERROR_JTAG_INIT_FAILED;
1254 goto done;
1255 }
1256 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1257 (tap->ir_length + 7) / tap->ir_length, val);
1258 chain_pos += tap->ir_length;
1259 }
1260
1261 /* verify the '11' sentinel we wrote is returned at the end */
1262 val = buf_get_u32(ir_test, chain_pos, 2);
1263 if (val != 0x3)
1264 {
1265 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1266
1267 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1268 chain_pos, cbuf);
1269 free(cbuf);
1270 retval = ERROR_JTAG_INIT_FAILED;
1271 }
1272
1273 done:
1274 free(ir_test);
1275 if (retval != ERROR_OK) {
1276 jtag_add_tlr();
1277 jtag_execute_queue();
1278 }
1279 return retval;
1280 }
1281
1282
1283 void jtag_tap_init(struct jtag_tap *tap)
1284 {
1285 unsigned ir_len_bits;
1286 unsigned ir_len_bytes;
1287
1288 /* if we're autoprobing, cope with potentially huge ir_length */
1289 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1290 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1291
1292 tap->expected = calloc(1, ir_len_bytes);
1293 tap->expected_mask = calloc(1, ir_len_bytes);
1294 tap->cur_instr = malloc(ir_len_bytes);
1295
1296 /// @todo cope better with ir_length bigger than 32 bits
1297 if (ir_len_bits > 32)
1298 ir_len_bits = 32;
1299
1300 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1301 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1302
1303 // TAP will be in bypass mode after jtag_validate_ircapture()
1304 tap->bypass = 1;
1305 buf_set_ones(tap->cur_instr, tap->ir_length);
1306
1307 // register the reset callback for the TAP
1308 jtag_register_event_callback(&jtag_reset_callback, tap);
1309
1310 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1311 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1312 tap->abs_chain_position, tap->ir_length,
1313 (unsigned) tap->ir_capture_value,
1314 (unsigned) tap->ir_capture_mask);
1315 jtag_tap_add(tap);
1316 }
1317
1318 void jtag_tap_free(struct jtag_tap *tap)
1319 {
1320 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1321
1322 /// @todo is anything missing? no memory leaks please
1323 free((void *)tap->expected);
1324 free((void *)tap->expected_ids);
1325 free((void *)tap->chip);
1326 free((void *)tap->tapname);
1327 free((void *)tap->dotted_name);
1328 free(tap);
1329 }
1330
1331 /**
1332 * Do low-level setup like initializing registers, output signals,
1333 * and clocking.
1334 */
1335 int adapter_init(struct command_context *cmd_ctx)
1336 {
1337 if (jtag)
1338 return ERROR_OK;
1339
1340 if (!jtag_interface)
1341 {
1342 /* nothing was previously specified by "interface" command */
1343 LOG_ERROR("Debug Adapter has to be specified, "
1344 "see \"interface\" command");
1345 return ERROR_JTAG_INVALID_INTERFACE;
1346 }
1347
1348 int retval;
1349 retval = jtag_interface->init();
1350 if (retval != ERROR_OK)
1351 {
1352 return retval;
1353 }
1354 jtag = jtag_interface;
1355
1356 /* LEGACY SUPPORT ... adapter drivers must declare what
1357 * transports they allow. Until they all do so, assume
1358 * the legacy drivers are JTAG-only
1359 */
1360 if (!transports_are_declared()) {
1361 LOG_ERROR("Adapter driver '%s' did not declare "
1362 "which transports it allows; assuming "
1363 "JTAG-only", jtag->name);
1364 retval = allow_transports(cmd_ctx, jtag_only);
1365 if (retval != ERROR_OK)
1366 return retval;
1367 }
1368
1369 if (CLOCK_MODE_UNSELECTED == clock_mode)
1370 {
1371 LOG_ERROR("An adapter speed is not selected in the init script."
1372 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1373 return ERROR_JTAG_INIT_FAILED;
1374 }
1375
1376 int requested_khz = jtag_get_speed_khz();
1377 int actual_khz = requested_khz;
1378 int jtag_speed_var = 0;
1379 retval = jtag_get_speed(&jtag_speed_var);
1380 if (retval != ERROR_OK)
1381 return retval;
1382 retval = jtag->speed(jtag_speed_var);
1383 if (retval != ERROR_OK)
1384 return retval;
1385 retval = jtag_get_speed_readable(&actual_khz);
1386 if (ERROR_OK != retval)
1387 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1388 else if (actual_khz)
1389 {
1390 /* Adaptive clocking -- JTAG-specific */
1391 if ((CLOCK_MODE_RCLK == clock_mode)
1392 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1393 {
1394 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1395 , actual_khz);
1396 }
1397 else
1398 LOG_INFO("clock speed %d kHz", actual_khz);
1399 }
1400 else
1401 LOG_INFO("RCLK (adaptive clock speed)");
1402
1403 return ERROR_OK;
1404 }
1405
1406 int jtag_init_inner(struct command_context *cmd_ctx)
1407 {
1408 struct jtag_tap *tap;
1409 int retval;
1410 bool issue_setup = true;
1411
1412 LOG_DEBUG("Init JTAG chain");
1413
1414 tap = jtag_tap_next_enabled(NULL);
1415 if (tap == NULL) {
1416 /* Once JTAG itself is properly set up, and the scan chain
1417 * isn't absurdly large, IDCODE autoprobe should work fine.
1418 *
1419 * But ... IRLEN autoprobe can fail even on systems which
1420 * are fully conformant to JTAG. Also, JTAG setup can be
1421 * quite finicky on some systems.
1422 *
1423 * REVISIT: if TAP autoprobe works OK, then in many cases
1424 * we could escape to tcl code and set up targets based on
1425 * the TAP's IDCODE values.
1426 */
1427 LOG_WARNING("There are no enabled taps. "
1428 "AUTO PROBING MIGHT NOT WORK!!");
1429
1430 /* REVISIT default clock will often be too fast ... */
1431 }
1432
1433 jtag_add_tlr();
1434 if ((retval = jtag_execute_queue()) != ERROR_OK)
1435 return retval;
1436
1437 /* Examine DR values first. This discovers problems which will
1438 * prevent communication ... hardware issues like TDO stuck, or
1439 * configuring the wrong number of (enabled) TAPs.
1440 */
1441 retval = jtag_examine_chain();
1442 switch (retval) {
1443 case ERROR_OK:
1444 /* complete success */
1445 break;
1446 default:
1447 /* For backward compatibility reasons, try coping with
1448 * configuration errors involving only ID mismatches.
1449 * We might be able to talk to the devices.
1450 *
1451 * Also the device might be powered down during startup.
1452 *
1453 * After OpenOCD starts, we can try to power on the device
1454 * and run a reset.
1455 */
1456 LOG_ERROR("Trying to use configured scan chain anyway...");
1457 issue_setup = false;
1458 break;
1459 }
1460
1461 /* Now look at IR values. Problems here will prevent real
1462 * communication. They mostly mean that the IR length is
1463 * wrong ... or that the IR capture value is wrong. (The
1464 * latter is uncommon, but easily worked around: provide
1465 * ircapture/irmask values during TAP setup.)
1466 */
1467 retval = jtag_validate_ircapture();
1468 if (retval != ERROR_OK)
1469 {
1470 /* The target might be powered down. The user
1471 * can power it up and reset it after firing
1472 * up OpenOCD.
1473 */
1474 issue_setup = false;
1475 }
1476
1477 if (issue_setup)
1478 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1479 else
1480 LOG_WARNING("Bypassing JTAG setup events due to errors");
1481
1482
1483 return ERROR_OK;
1484 }
1485
1486 int adapter_quit(void)
1487 {
1488 if (!jtag || !jtag->quit)
1489 return ERROR_OK;
1490
1491 // close the JTAG interface
1492 int result = jtag->quit();
1493 if (ERROR_OK != result)
1494 LOG_ERROR("failed: %d", result);
1495
1496 return ERROR_OK;
1497 }
1498
1499
1500 int jtag_init_reset(struct command_context *cmd_ctx)
1501 {
1502 int retval;
1503
1504 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1505 return retval;
1506
1507 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1508
1509 /*
1510 * This procedure is used by default when OpenOCD triggers a reset.
1511 * It's now done through an overridable Tcl "init_reset" wrapper.
1512 *
1513 * This started out as a more powerful "get JTAG working" reset than
1514 * jtag_init_inner(), applying TRST because some chips won't activate
1515 * JTAG without a TRST cycle (presumed to be async, though some of
1516 * those chips synchronize JTAG activation using TCK).
1517 *
1518 * But some chips only activate JTAG as part of an SRST cycle; SRST
1519 * got mixed in. So it became a hard reset routine, which got used
1520 * in more places, and which coped with JTAG reset being forced as
1521 * part of SRST (srst_pulls_trst).
1522 *
1523 * And even more corner cases started to surface: TRST and/or SRST
1524 * assertion timings matter; some chips need other JTAG operations;
1525 * TRST/SRST sequences can need to be different from these, etc.
1526 *
1527 * Systems should override that wrapper to support system-specific
1528 * requirements that this not-fully-generic code doesn't handle.
1529 *
1530 * REVISIT once Tcl code can read the reset_config modes, this won't
1531 * need to be a C routine at all...
1532 */
1533 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1534 if (jtag_reset_config & RESET_HAS_SRST)
1535 {
1536 jtag_add_reset(1, 1);
1537 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1538 jtag_add_reset(0, 1);
1539 }
1540 jtag_add_reset(0, 0);
1541 if ((retval = jtag_execute_queue()) != ERROR_OK)
1542 return retval;
1543
1544 /* Check that we can communication on the JTAG chain + eventually we want to
1545 * be able to perform enumeration only after OpenOCD has started
1546 * telnet and GDB server
1547 *
1548 * That would allow users to more easily perform any magic they need to before
1549 * reset happens.
1550 */
1551 return jtag_init_inner(cmd_ctx);
1552 }
1553
1554 int jtag_init(struct command_context *cmd_ctx)
1555 {
1556 int retval;
1557
1558 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1559 return retval;
1560
1561 /* guard against oddball hardware: force resets to be inactive */
1562 jtag_add_reset(0, 0);
1563 if ((retval = jtag_execute_queue()) != ERROR_OK)
1564 return retval;
1565
1566 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1567 return ERROR_FAIL;
1568
1569 return ERROR_OK;
1570 }
1571
1572 unsigned jtag_get_speed_khz(void)
1573 {
1574 return speed_khz;
1575 }
1576
1577 static int adapter_khz_to_speed(unsigned khz, int* speed)
1578 {
1579 LOG_DEBUG("convert khz to interface specific speed value");
1580 speed_khz = khz;
1581 if (jtag != NULL)
1582 {
1583 LOG_DEBUG("have interface set up");
1584 int speed_div1;
1585 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1586 if (ERROR_OK != retval)
1587 {
1588 return retval;
1589 }
1590 *speed = speed_div1;
1591 }
1592 return ERROR_OK;
1593 }
1594
1595 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1596 {
1597 int retval = adapter_khz_to_speed(0, speed);
1598 if ((ERROR_OK != retval) && fallback_speed_khz)
1599 {
1600 LOG_DEBUG("trying fallback speed...");
1601 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1602 }
1603 return retval;
1604 }
1605
1606 static int jtag_set_speed(int speed)
1607 {
1608 jtag_speed = speed;
1609 /* this command can be called during CONFIG,
1610 * in which case jtag isn't initialized */
1611 return jtag ? jtag->speed(speed) : ERROR_OK;
1612 }
1613
1614 int jtag_config_khz(unsigned khz)
1615 {
1616 LOG_DEBUG("handle jtag khz");
1617 clock_mode = CLOCK_MODE_KHZ;
1618 int speed = 0;
1619 int retval = adapter_khz_to_speed(khz, &speed);
1620 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1621 }
1622
1623 int jtag_config_rclk(unsigned fallback_speed_khz)
1624 {
1625 LOG_DEBUG("handle jtag rclk");
1626 clock_mode = CLOCK_MODE_RCLK;
1627 rclk_fallback_speed_khz = fallback_speed_khz;
1628 int speed = 0;
1629 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1630 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1631 }
1632
1633 int jtag_get_speed(int *speed)
1634 {
1635 switch(clock_mode)
1636 {
1637 case CLOCK_MODE_KHZ:
1638 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1639 break;
1640 case CLOCK_MODE_RCLK:
1641 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1642 break;
1643 default:
1644 LOG_ERROR("BUG: unknown jtag clock mode");
1645 return ERROR_FAIL;
1646 }
1647 return ERROR_OK;
1648 }
1649
1650 int jtag_get_speed_readable(int *khz)
1651 {
1652 int jtag_speed_var = 0;
1653 int retval = jtag_get_speed(&jtag_speed_var);
1654 if (retval != ERROR_OK)
1655 return retval;
1656 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1657 }
1658
1659 void jtag_set_verify(bool enable)
1660 {
1661 jtag_verify = enable;
1662 }
1663
1664 bool jtag_will_verify()
1665 {
1666 return jtag_verify;
1667 }
1668
1669 void jtag_set_verify_capture_ir(bool enable)
1670 {
1671 jtag_verify_capture_ir = enable;
1672 }
1673
1674 bool jtag_will_verify_capture_ir()
1675 {
1676 return jtag_verify_capture_ir;
1677 }
1678
1679 int jtag_power_dropout(int *dropout)
1680 {
1681 if (jtag == NULL)
1682 {
1683 /* TODO: as the jtag interface is not valid all
1684 * we can do at the moment is exit OpenOCD */
1685 LOG_ERROR("No Valid JTAG Interface Configured.");
1686 exit(-1);
1687 }
1688 return jtag->power_dropout(dropout);
1689 }
1690
1691 int jtag_srst_asserted(int *srst_asserted)
1692 {
1693 return jtag->srst_asserted(srst_asserted);
1694 }
1695
1696 enum reset_types jtag_get_reset_config(void)
1697 {
1698 return jtag_reset_config;
1699 }
1700 void jtag_set_reset_config(enum reset_types type)
1701 {
1702 jtag_reset_config = type;
1703 }
1704
1705 int jtag_get_trst(void)
1706 {
1707 return jtag_trst;
1708 }
1709 int jtag_get_srst(void)
1710 {
1711 return jtag_srst;
1712 }
1713
1714 void jtag_set_nsrst_delay(unsigned delay)
1715 {
1716 adapter_nsrst_delay = delay;
1717 }
1718 unsigned jtag_get_nsrst_delay(void)
1719 {
1720 return adapter_nsrst_delay;
1721 }
1722 void jtag_set_ntrst_delay(unsigned delay)
1723 {
1724 jtag_ntrst_delay = delay;
1725 }
1726 unsigned jtag_get_ntrst_delay(void)
1727 {
1728 return jtag_ntrst_delay;
1729 }
1730
1731
1732 void jtag_set_nsrst_assert_width(unsigned delay)
1733 {
1734 adapter_nsrst_assert_width = delay;
1735 }
1736 unsigned jtag_get_nsrst_assert_width(void)
1737 {
1738 return adapter_nsrst_assert_width;
1739 }
1740 void jtag_set_ntrst_assert_width(unsigned delay)
1741 {
1742 jtag_ntrst_assert_width = delay;
1743 }
1744 unsigned jtag_get_ntrst_assert_width(void)
1745 {
1746 return jtag_ntrst_assert_width;
1747 }
1748
1749 static int jtag_select(struct command_context *ctx)
1750 {
1751 int retval;
1752
1753 /* NOTE: interface init must already have been done.
1754 * That works with only C code ... no Tcl glue required.
1755 */
1756
1757 retval = jtag_register_commands(ctx);
1758
1759 if (retval != ERROR_OK)
1760 return retval;
1761
1762 retval = svf_register_commands(ctx);
1763
1764 if (retval != ERROR_OK)
1765 return retval;
1766
1767 return xsvf_register_commands(ctx);
1768 }
1769
1770 static struct transport jtag_transport = {
1771 .name = "jtag",
1772 .select = jtag_select,
1773 .init = jtag_init,
1774 };
1775
1776 static void jtag_constructor(void) __attribute__((constructor));
1777 static void jtag_constructor(void)
1778 {
1779 transport_register(&jtag_transport);
1780 }
1781
1782 /** Returns true if the current debug session
1783 * is using JTAG as its transport.
1784 */
1785 bool transport_is_jtag(void)
1786 {
1787 return get_current_transport() == &jtag_transport;
1788 }

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)