X-Git-Url: https://review.openocd.org/gitweb?a=blobdiff_plain;f=src%2Fjtag%2Fjtag.c;h=f53bd26cf370e708bebe6145dd11f82ba04d1fa7;hb=8c21ca7e81d3408392e6691fc34cb793eb964749;hp=a3d86adead05ad03ce3f0216aee72c1ea7a18597;hpb=90dbfcea7d49a96c0449012a7996281c08b34604;p=openocd.git diff --git a/src/jtag/jtag.c b/src/jtag/jtag.c index a3d86adead..f53bd26cf3 100644 --- a/src/jtag/jtag.c +++ b/src/jtag/jtag.c @@ -97,8 +97,9 @@ static bool hasKHz = false; #if BUILD_ECOSBOARD == 1 extern jtag_interface_t zy1000_interface; -#endif - +#elif defined(BUILD_MINIDRIVER_DUMMY) + extern jtag_interface_t minidummy_interface; +#else // standard drivers #if BUILD_PARPORT == 1 extern jtag_interface_t parport_interface; #endif @@ -154,11 +155,21 @@ static bool hasKHz = false; #if BUILD_ARMJTAGEW == 1 extern jtag_interface_t armjtagew_interface; #endif +#endif // standard drivers +/** + * The list of built-in JTAG interfaces, containing entries for those + * drivers that were enabled by the @c configure script. + * + * The list should be defined to contain either one minidriver interface + * or some number of standard driver interfaces, never both. + */ jtag_interface_t *jtag_interfaces[] = { #if BUILD_ECOSBOARD == 1 &zy1000_interface, -#endif +#elif defined(BUILD_MINIDRIVER_DUMMY) + &minidummy_interface, +#else // standard drivers #if BUILD_PARPORT == 1 &parport_interface, #endif @@ -201,6 +212,7 @@ jtag_interface_t *jtag_interfaces[] = { #if BUILD_ARMJTAGEW == 1 &armjtagew_interface, #endif +#endif // standard drivers NULL, }; @@ -221,7 +233,6 @@ static int handle_jtag_ntrst_delay_command(struct command_context_s *cmd_ctx, ch static int handle_scan_chain_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc); -static int handle_endstate_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc); static int handle_jtag_reset_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc); static int handle_runtest_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc); static int handle_irscan_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc); @@ -242,10 +253,10 @@ int jtag_tap_count(void) return jtag_num_taps; } -int jtag_tap_count_enabled(void) +unsigned jtag_tap_count_enabled(void) { jtag_tap_t *t; - int n; + unsigned n; n = 0; t = jtag_all_taps(); @@ -417,15 +428,13 @@ void jtag_alloc_in_value32(scan_field_t *field) interface_jtag_alloc_in_value32(field); } -void jtag_add_ir_scan_noverify(int in_num_fields, const scan_field_t *in_fields, tap_state_t state) +void jtag_add_ir_scan_noverify(int in_count, const scan_field_t *in_fields, + tap_state_t state) { - int retval; jtag_prelude(state); - retval=interface_jtag_add_ir_scan(in_num_fields, in_fields, state); - if (retval!=ERROR_OK) - jtag_error=retval; - + int retval = interface_jtag_add_ir_scan(in_count, in_fields, state); + jtag_set_error(retval); } @@ -465,15 +474,14 @@ void jtag_add_ir_scan(int in_num_fields, scan_field_t *in_fields, tap_state_t st * This function assumes that the caller handles extra fields for bypassed TAPs * */ -void jtag_add_plain_ir_scan(int in_num_fields, const scan_field_t *in_fields, tap_state_t state) +void jtag_add_plain_ir_scan(int in_num_fields, const scan_field_t *in_fields, + tap_state_t state) { - int retval; - jtag_prelude(state); - retval=interface_jtag_add_plain_ir_scan(in_num_fields, in_fields, state); - if (retval!=ERROR_OK) - jtag_error=retval; + int retval = interface_jtag_add_plain_ir_scan( + in_num_fields, in_fields, state); + jtag_set_error(retval); } void jtag_add_callback(jtag_callback1_t f, u8 *in) @@ -545,42 +553,34 @@ void jtag_add_dr_scan_check(int in_num_fields, scan_field_t *in_fields, tap_stat /** - * Generate a DR SCAN using the fields passed to the function - * - * For not bypassed TAPs the function checks in_fields and uses fields specified there. - * For bypassed TAPs the function generates a dummy 1bit field. - * - * The bypass status of TAPs is set by jtag_add_ir_scan(). - * + * Generate a DR SCAN using the fields passed to the function. + * For connected TAPs, the function checks in_fields and uses fields + * specified there. For bypassed TAPs, the function generates a dummy + * 1-bit field. The bypass status of TAPs is set by jtag_add_ir_scan(). */ -void jtag_add_dr_scan(int in_num_fields, const scan_field_t *in_fields, tap_state_t state) +void jtag_add_dr_scan(int in_num_fields, const scan_field_t *in_fields, + tap_state_t state) { - int retval; - jtag_prelude(state); - retval=interface_jtag_add_dr_scan(in_num_fields, in_fields, state); - if (retval!=ERROR_OK) - jtag_error=retval; + int retval; + retval = interface_jtag_add_dr_scan(in_num_fields, in_fields, state); + jtag_set_error(retval); } - - /** - * Duplicate the scan fields passed into the function into a DR SCAN command - * - * This function assumes that the caller handles extra fields for bypassed TAPs - * + * Duplicate the scan fields passed into the function into a DR SCAN + * command. Unlike jtag_add_dr_scan(), this function assumes that the + * caller handles extra fields for bypassed TAPs. */ -void jtag_add_plain_dr_scan(int in_num_fields, const scan_field_t *in_fields, tap_state_t state) +void jtag_add_plain_dr_scan(int in_num_fields, const scan_field_t *in_fields, + tap_state_t state) { - int retval; - jtag_prelude(state); - retval=interface_jtag_add_plain_dr_scan(in_num_fields, in_fields, state); - if (retval!=ERROR_OK) - jtag_error=retval; + int retval; + retval = interface_jtag_add_plain_dr_scan(in_num_fields, in_fields, state); + jtag_set_error(retval); } void jtag_add_dr_out(jtag_tap_t* tap, @@ -599,20 +599,13 @@ void jtag_add_dr_out(jtag_tap_t* tap, void jtag_add_tlr(void) { jtag_prelude(TAP_RESET); - - int retval; - retval=interface_jtag_add_tlr(); - if (retval!=ERROR_OK) - jtag_error=retval; - + jtag_set_error(interface_jtag_add_tlr()); jtag_call_event_callbacks(JTAG_TRST_ASSERTED); } void jtag_add_pathmove(int num_states, const tap_state_t *path) { tap_state_t cur_state = cmd_queue_cur_state; - int i; - int retval; /* the last state has to be a stable state */ if (!tap_is_state_stable(path[num_states - 1])) @@ -621,7 +614,7 @@ void jtag_add_pathmove(int num_states, const tap_state_t *path) exit(-1); } - for (i=0; i %s isn't a valid TAP transition", tap_state_name(cur_state), tap_state_name(path[i])); + LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition", + tap_state_name(cur_state), tap_state_name(path[i])); exit(-1); } cur_state = path[i]; @@ -640,51 +634,37 @@ void jtag_add_pathmove(int num_states, const tap_state_t *path) jtag_checks(); - retval = interface_jtag_add_pathmove(num_states, path); + jtag_set_error(interface_jtag_add_pathmove(num_states, path)); cmd_queue_cur_state = path[num_states - 1]; - if (retval!=ERROR_OK) - jtag_error=retval; } void jtag_add_runtest(int num_cycles, tap_state_t state) { - int retval; - jtag_prelude(state); - - /* executed by sw or hw fifo */ - retval=interface_jtag_add_runtest(num_cycles, state); - if (retval!=ERROR_OK) - jtag_error=retval; + jtag_set_error(interface_jtag_add_runtest(num_cycles, state)); } -void jtag_add_clocks( int num_cycles ) +void jtag_add_clocks(int num_cycles) { - int retval; - - if( !tap_is_state_stable(cmd_queue_cur_state) ) + if (!tap_is_state_stable(cmd_queue_cur_state)) { - LOG_ERROR( "jtag_add_clocks() was called with TAP in non-stable state \"%s\"", - tap_state_name(cmd_queue_cur_state) ); - jtag_error = ERROR_JTAG_NOT_STABLE_STATE; + LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"", + tap_state_name(cmd_queue_cur_state)); + jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE); return; } - if( num_cycles > 0 ) + if (num_cycles > 0) { jtag_checks(); - - retval = interface_jtag_add_clocks(num_cycles); - if (retval != ERROR_OK) - jtag_error=retval; + jtag_set_error(interface_jtag_add_clocks(num_cycles)); } } void jtag_add_reset(int req_tlr_or_trst, int req_srst) { int trst_with_tlr = 0; - int retval; /* FIX!!! there are *many* different cases here. A better * approach is needed for legal combinations of transitions... @@ -708,7 +688,7 @@ void jtag_add_reset(int req_tlr_or_trst, int req_srst) if (((jtag_reset_config & RESET_SRST_PULLS_TRST) && (req_srst == 1)) && (!req_tlr_or_trst)) { LOG_ERROR("BUG: requested reset would assert trst"); - jtag_error=ERROR_FAIL; + jtag_set_error(ERROR_FAIL); return; } @@ -721,7 +701,7 @@ void jtag_add_reset(int req_tlr_or_trst, int req_srst) if (req_srst && !(jtag_reset_config & RESET_HAS_SRST)) { LOG_ERROR("BUG: requested SRST assertion, but the current configuration doesn't support this"); - jtag_error=ERROR_FAIL; + jtag_set_error(ERROR_FAIL); return; } @@ -741,10 +721,10 @@ void jtag_add_reset(int req_tlr_or_trst, int req_srst) jtag_srst = req_srst; - retval = interface_jtag_add_reset(jtag_trst, jtag_srst); - if (retval!=ERROR_OK) + int retval = interface_jtag_add_reset(jtag_trst, jtag_srst); + if (retval != ERROR_OK) { - jtag_error=retval; + jtag_set_error(retval); return; } jtag_execute_queue(); @@ -892,17 +872,8 @@ int default_interface_jtag_execute_queue(void) void jtag_execute_queue_noclear(void) { - /* each flush can take as much as 1-2ms on high bandwidth low latency interfaces. - * E.g. a JTAG over TCP/IP or USB.... - */ jtag_flush_queue_count++; - - int retval=interface_jtag_execute_queue(); - /* we keep the first error */ - if ((jtag_error==ERROR_OK)&&(retval!=ERROR_OK)) - { - jtag_error=retval; - } + jtag_set_error(interface_jtag_execute_queue()); } int jtag_get_flush_queue_count(void) @@ -912,11 +883,8 @@ int jtag_get_flush_queue_count(void) int jtag_execute_queue(void) { - int retval; jtag_execute_queue_noclear(); - retval=jtag_error; - jtag_error=ERROR_OK; - return retval; + return jtag_error_clear(); } static int jtag_reset_callback(enum jtag_event event, void *priv) @@ -963,93 +931,151 @@ static int jtag_examine_chain_execute(u8 *idcode_buffer, unsigned num_idcode) return jtag_execute_queue(); } -/* Try to examine chain layout according to IEEE 1149.1 §12 - */ -static int jtag_examine_chain(void) +static bool jtag_examine_chain_check(u8 *idcodes, unsigned count) { - jtag_tap_t *tap; - u8 idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4]; - int i; - int bit_count; - int device_count = 0; u8 zero_check = 0x0; u8 one_check = 0xff; - jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE); + for (unsigned i = 0; i < count * 4; i++) + { + zero_check |= idcodes[i]; + one_check &= idcodes[i]; + } - for (i = 0; i < JTAG_MAX_CHAIN_SIZE * 4; i++) + /* if there wasn't a single non-zero bit or if all bits were one, + * the scan is not valid */ + if (zero_check == 0x00 || one_check == 0xff) { - zero_check |= idcode_buffer[i]; - one_check &= idcode_buffer[i]; + LOG_ERROR("JTAG communication failure: check connection, " + "JTAG interface, target power etc."); + return false; } + return true; +} + +static void jtag_examine_chain_display(enum log_levels level, const char *msg, + const char *name, u32 idcode) +{ + log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__, + "JTAG tap: %s %16.16s: 0x%08x " + "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)", + name, msg, idcode, + EXTRACT_MFG(idcode), EXTRACT_PART(idcode), EXTRACT_VER(idcode) ); +} - /* if there wasn't a single non-zero bit or if all bits were one, the scan isn't valid */ - if ((zero_check == 0x00) || (one_check == 0xff)) +static bool jtag_idcode_is_final(u32 idcode) +{ + return idcode == 0x000000FF || idcode == 0xFFFFFFFF; +} + +/** + * This helper checks that remaining bits in the examined chain data are + * all as expected, but a single JTAG device requires only 64 bits to be + * read back correctly. This can help identify and diagnose problems + * with the JTAG chain earlier, gives more helpful/explicit error messages. + */ +static void jtag_examine_chain_end(u8 *idcodes, unsigned count, unsigned max) +{ + bool triggered = false; + for ( ; count < max - 31; count += 32) { - LOG_ERROR("JTAG communication failure, check connection, JTAG interface, target power etc."); - return ERROR_JTAG_INIT_FAILED; + u32 idcode = buf_get_u32(idcodes, count, 32); + // do not trigger the warning if the data looks good + if (!triggered && jtag_idcode_is_final(idcode)) + continue; + LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x", + count, idcode); + triggered = true; + } +} + +static bool jtag_examine_chain_match_tap(const struct jtag_tap_s *tap) +{ + if (0 == tap->expected_ids_cnt) + { + /// @todo Enable LOG_INFO to ask for reports about unknown TAP IDs. +#if 0 + LOG_INFO("Uknown JTAG TAP ID: 0x%08x", tap->idcode) + LOG_INFO("Please report the chip name and reported ID code to the openocd project"); +#endif + return true; } + /* Loop over the expected identification codes and test for a match */ + u8 ii; + for (ii = 0; ii < tap->expected_ids_cnt; ii++) + { + if (tap->idcode == tap->expected_ids[ii]) + break; + } + + /* If none of the expected ids matched, log an error */ + if (ii != tap->expected_ids_cnt) + { + LOG_INFO("JTAG Tap/device matched"); + return true; + } + jtag_examine_chain_display(LOG_LVL_ERROR, "got", + tap->dotted_name, tap->idcode); + for (ii = 0; ii < tap->expected_ids_cnt; ii++) + { + char msg[32]; + snprintf(msg, sizeof(msg), "expected %hhu of %hhu", + ii + 1, tap->expected_ids_cnt); + jtag_examine_chain_display(LOG_LVL_ERROR, msg, + tap->dotted_name, tap->expected_ids[ii]); + } + return false; +} + +/* Try to examine chain layout according to IEEE 1149.1 §12 + */ +static int jtag_examine_chain(void) +{ + u8 idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4]; + unsigned device_count = 0; + + jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE); + + if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE)) + return ERROR_JTAG_INIT_FAILED; + /* point at the 1st tap */ - tap = jtag_tap_next_enabled(NULL); - if( tap == NULL ){ + jtag_tap_t *tap = jtag_tap_next_enabled(NULL); + if (tap == NULL) + { LOG_ERROR("JTAG: No taps enabled?"); return ERROR_JTAG_INIT_FAILED; } - for (bit_count = 0; bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;) + for (unsigned bit_count = 0; bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;) { u32 idcode = buf_get_u32(idcode_buffer, bit_count, 32); if ((idcode & 1) == 0) { /* LSB must not be 0, this indicates a device in bypass */ LOG_WARNING("Tap/Device does not have IDCODE"); - idcode=0; + idcode = 0; bit_count += 1; } else { - u32 manufacturer; - u32 part; - u32 version; - - /* some devices, such as AVR will output all 1's instead of TDI - input value at end of chain. */ - if ((idcode == 0x000000FF)||(idcode == 0xFFFFFFFF)) + /* + * End of chain (invalid manufacturer ID) some devices, such + * as AVR will output all 1's instead of TDI input value at + * end of chain. + */ + if (jtag_idcode_is_final(idcode)) { - int unexpected=0; - /* End of chain (invalid manufacturer ID) - * - * The JTAG examine is the very first thing that happens - * - * A single JTAG device requires only 64 bits to be read back correctly. - * - * The code below adds a check that the rest of the data scanned (640 bits) - * are all as expected. This helps diagnose/catch problems with the JTAG chain - * - * earlier and gives more helpful/explicit error messages. - */ - for (bit_count += 32; bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;bit_count += 32) - { - idcode = buf_get_u32(idcode_buffer, bit_count, 32); - if (unexpected||((idcode != 0x000000FF)&&(idcode != 0xFFFFFFFF))) - { - LOG_WARNING("Unexpected idcode after end of chain! %d 0x%08x", bit_count, idcode); - unexpected = 1; - } - } - + jtag_examine_chain_end(idcode_buffer, + bit_count + 32, JTAG_MAX_CHAIN_SIZE * 32); break; } - manufacturer = EXTRACT_MFG(idcode); - part = EXTRACT_PART(idcode); - version = EXTRACT_VER(idcode); - - LOG_INFO("JTAG tap: %s tap/device found: 0x%8.8x (Manufacturer: 0x%3.3x, Part: 0x%4.4x, Version: 0x%1.1x)", - ((tap != NULL) ? (tap->dotted_name) : "(not-named)"), - idcode, manufacturer, part, version); + jtag_examine_chain_display(LOG_LVL_INFO, "tap/device found", + tap ? tap->dotted_name : "(not-named)", + idcode); bit_count += 32; } @@ -1059,56 +1085,21 @@ static int jtag_examine_chain(void) tap->idcode = idcode; - if (0 == tap->expected_ids_cnt) - { - // @todo Enable LOG_INFO to ask for reports about unknown TAP IDs. -#if 0 - LOG_INFO("Uknown JTAG TAP ID: 0x%08x", tap->idcode) - LOG_INFO("Please report the chip name and reported ID code to the openocd project"); -#endif - tap = jtag_tap_next_enabled(tap); - continue; - } - /* Loop over the expected identification codes and test for a match */ - u8 ii; - for (ii = 0; ii < tap->expected_ids_cnt; ii++) { - if (tap->idcode == tap->expected_ids[ii]) { - break; - } - } + // ensure the TAP ID does matches what was expected + if (!jtag_examine_chain_match_tap(tap)) + return ERROR_JTAG_INIT_FAILED; - /* If none of the expected ids matched, log an error */ - if (ii != tap->expected_ids_cnt) - { - LOG_INFO("JTAG Tap/device matched"); - tap = jtag_tap_next_enabled(tap); - continue; - } - LOG_ERROR("JTAG tap: %s got: 0x%08x (mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)", - tap->dotted_name, - idcode, - EXTRACT_MFG( tap->idcode ), - EXTRACT_PART( tap->idcode ), - EXTRACT_VER( tap->idcode ) ); - for (ii = 0; ii < tap->expected_ids_cnt; ii++) { - LOG_ERROR("JTAG tap: %s expected %hhu of %hhu: 0x%08x (mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)", - tap->dotted_name, - ii + 1, - tap->expected_ids_cnt, - tap->expected_ids[ii], - EXTRACT_MFG( tap->expected_ids[ii] ), - EXTRACT_PART( tap->expected_ids[ii] ), - EXTRACT_VER( tap->expected_ids[ii] ) ); - } - return ERROR_JTAG_INIT_FAILED; + tap = jtag_tap_next_enabled(tap); } /* see if number of discovered devices matches configuration */ if (device_count != jtag_tap_count_enabled()) { - LOG_ERROR("number of discovered devices in JTAG chain (%i) doesn't match (enabled) configuration (%i), total taps: %d", - device_count, jtag_tap_count_enabled(), jtag_tap_count()); - LOG_ERROR("check the config file and ensure proper JTAG communication (connections, speed, ...)"); + LOG_ERROR("number of discovered devices in JTAG chain (%i) " + "does not match (enabled) configuration (%i), total taps: %d", + device_count, jtag_tap_count_enabled(), jtag_tap_count()); + LOG_ERROR("check the config file and ensure proper JTAG communication" + " (connections, speed, ...)"); return ERROR_JTAG_INIT_FAILED; } @@ -1630,8 +1621,6 @@ int jtag_register_commands(struct command_context_s *cmd_ctx) register_command(cmd_ctx, NULL, "scan_chain", handle_scan_chain_command, COMMAND_EXEC, "print current scan chain configuration"); - register_command(cmd_ctx, NULL, "endstate", handle_endstate_command, - COMMAND_EXEC, "finish JTAG operations in "); register_command(cmd_ctx, NULL, "jtag_reset", handle_jtag_reset_command, COMMAND_EXEC, "toggle reset lines "); register_command(cmd_ctx, NULL, "runtest", handle_runtest_command, @@ -2080,41 +2069,34 @@ next: return ERROR_OK; } -static int handle_jtag_nsrst_delay_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) +static int handle_jtag_nsrst_delay_command(struct command_context_s *cmd_ctx, + char *cmd, char **args, int argc) { - if (argc < 1) - { - LOG_ERROR("jtag_nsrst_delay command takes one required argument"); - exit(-1); - } - else - { + if (argc > 1) + return ERROR_COMMAND_SYNTAX_ERROR; + if (argc == 1) jtag_set_nsrst_delay(strtoul(args[0], NULL, 0)); - } - + command_print(cmd_ctx, "jtag_nsrst_delay: %u", jtag_get_nsrst_delay()); return ERROR_OK; } -static int handle_jtag_ntrst_delay_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) +static int handle_jtag_ntrst_delay_command(struct command_context_s *cmd_ctx, + char *cmd, char **args, int argc) { - if (argc < 1) - { - LOG_ERROR("jtag_ntrst_delay command takes one required argument"); - exit(-1); - } - else - { + if (argc > 1) + return ERROR_COMMAND_SYNTAX_ERROR; + if (argc == 1) jtag_set_ntrst_delay(strtoul(args[0], NULL, 0)); - } - + command_print(cmd_ctx, "jtag_ntrst_delay: %u", jtag_get_ntrst_delay()); return ERROR_OK; } - static int handle_jtag_speed_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) { - int retval=ERROR_OK; + int retval = ERROR_OK; + if (argc > 1) + return ERROR_COMMAND_SYNTAX_ERROR; if (argc == 1) { LOG_DEBUG("handle jtag speed"); @@ -2125,14 +2107,7 @@ static int handle_jtag_speed_command(struct command_context_s *cmd_ctx, char *cm /* this command can be called during CONFIG, * in which case jtag isn't initialized */ if (jtag) - { - retval=jtag->speed(cur_speed); - } - } else if (argc == 0) - { - } else - { - return ERROR_COMMAND_SYNTAX_ERROR; + retval = jtag->speed(cur_speed); } command_print(cmd_ctx, "jtag_speed: %d", jtag_speed); @@ -2141,41 +2116,39 @@ static int handle_jtag_speed_command(struct command_context_s *cmd_ctx, char *cm static int handle_jtag_khz_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) { - int retval=ERROR_OK; - LOG_DEBUG("handle jtag khz"); + if (argc > 1) + return ERROR_COMMAND_SYNTAX_ERROR; + int retval = ERROR_OK; int cur_speed = 0; - if(argc == 1) + if (argc == 1) { + LOG_DEBUG("handle jtag khz"); + jtag_set_speed_khz(strtoul(args[0], NULL, 0)); if (jtag != NULL) { LOG_DEBUG("have interface set up"); int speed_div1; - if ((retval=jtag->khz(jtag_get_speed_khz(), &speed_div1))!=ERROR_OK) + retval = jtag->khz(jtag_get_speed_khz(), &speed_div1); + if (ERROR_OK != retval) { jtag_set_speed_khz(0); return retval; } - cur_speed = jtag_speed = speed_div1; - retval=jtag->speed(cur_speed); - } else - { - hasKHz = true; + retval = jtag->speed(cur_speed); } - } else if (argc==0) - { - } else - { - return ERROR_COMMAND_SYNTAX_ERROR; + else + hasKHz = true; } - cur_speed = jtag_get_speed_khz(); - if (jtag!=NULL) + cur_speed = jtag_get_speed_khz(); + if (jtag != NULL) { - if ((retval=jtag->speed_div(jtag_speed, &cur_speed))!=ERROR_OK) + retval = jtag->speed_div(jtag_speed, &cur_speed); + if (ERROR_OK != retval) return retval; } @@ -2187,53 +2160,27 @@ static int handle_jtag_khz_command(struct command_context_s *cmd_ctx, char *cmd, } -static int handle_endstate_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) +static int handle_jtag_reset_command(struct command_context_s *cmd_ctx, + char *cmd, char **args, int argc) { - if (argc < 1) + if (argc != 2) return ERROR_COMMAND_SYNTAX_ERROR; - tap_state_t state = tap_state_by_name(args[0]); - if (state < 0) - { - command_print( cmd_ctx, "Invalid state name: %s\n", args[0] ); - return ERROR_COMMAND_SYNTAX_ERROR; - } - jtag_set_end_state(state); - jtag_execute_queue(); - - command_print(cmd_ctx, "current endstate: %s", - tap_state_name(cmd_queue_end_state)); - - return ERROR_OK; -} - -static int handle_jtag_reset_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) -{ int trst = -1; - int srst = -1; - - if (argc < 2) - { - return ERROR_COMMAND_SYNTAX_ERROR; - } - if (args[0][0] == '1') trst = 1; else if (args[0][0] == '0') trst = 0; else - { return ERROR_COMMAND_SYNTAX_ERROR; - } + int srst = -1; if (args[1][0] == '1') srst = 1; else if (args[1][0] == '0') srst = 0; else - { return ERROR_COMMAND_SYNTAX_ERROR; - } if (jtag_interface_init(cmd_ctx) != ERROR_OK) return ERROR_JTAG_INIT_FAILED; @@ -2244,18 +2191,16 @@ static int handle_jtag_reset_command(struct command_context_s *cmd_ctx, char *cm return ERROR_OK; } -static int handle_runtest_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc) +static int handle_runtest_command(struct command_context_s *cmd_ctx, + char *cmd, char **args, int argc) { - if (argc < 1) - { + if (argc != 1) return ERROR_COMMAND_SYNTAX_ERROR; - } jtag_add_runtest(strtol(args[0], NULL, 0), jtag_get_end_state()); jtag_execute_queue(); return ERROR_OK; - } /* @@ -2711,9 +2656,15 @@ void jtag_set_nsrst_delay(unsigned delay) { jtag_nsrst_delay = delay; } +unsigned jtag_get_nsrst_delay(void) +{ + return jtag_nsrst_delay; +} void jtag_set_ntrst_delay(unsigned delay) { jtag_ntrst_delay = delay; } - - +unsigned jtag_get_ntrst_delay(void) +{ + return jtag_ntrst_delay; +}